intTypePromotion=1
zunia.vn Tuyển sinh 2024 dành cho Gen-Z zunia.vn zunia.vn
ADSENSE

Đề thi học kỳ 1 môn: Kỹ thuật số có đáp án (Năm 2013-2014) - Nguyễn Trọng Luật

Chia sẻ: Le Hải Hậu | Ngày: | Loại File: PDF | Số trang:7

544
lượt xem
76
download
 
  Download Vui lòng tải xuống để xem tài liệu đầy đủ

Đề thi học kỳ 1 môn "Kỹ thuật số"  năm 2013-2014 do giáo viên Nguyễn Trọng Luật biên soạn gồm 8 câu hỏi bài tập có lời giải. Mời các bạn cùng tham khảo để củng cố lại kiến thức và làm quen với dạng đề thi môn Kỹ thuật số. 

Chủ đề:
Lưu

Nội dung Text: Đề thi học kỳ 1 môn: Kỹ thuật số có đáp án (Năm 2013-2014) - Nguyễn Trọng Luật

  1. library ieee; use ieee.std_logic_1164.all; entity CAU6 is port ( A, B, C, D : IN std_logic; F : OUT std_logic); end CAU6; architecture THI of CAU6 is begin process (A, B, C, D) begin if A = ‘0’ then F
  2. architecture THI of CAU6 is begin WITH A SELECT F
  3. library ieee; use ieee.std_logic_1164.all; entity CAU8 is port ( X, C0: IN std_logic; A, B : IN std_logic_vector (1 downto 0); D : OUT std_logic_vector (2 downto 0)); end CAU8; architecture THI of CAU8 is signal E, F, G, H, I : std_logic; component MUX2to1 port ( d0, d1, s : IN std_logic; y: OUT std_logic); end component; component FA port ( x, y, z : IN std_logic; s, c : OUT std_logic); end component; begin E
ADSENSE

CÓ THỂ BẠN MUỐN DOWNLOAD

 

Đồng bộ tài khoản
2=>2