intTypePromotion=1
zunia.vn Tuyển sinh 2024 dành cho Gen-Z zunia.vn zunia.vn
ADSENSE

Luận án Tiến sĩ Kỹ thuật: Nghiên cứu phát triển chip cho hệ điều khiển tựa từ thông rotor động cơ xoay chiều ba pha trên nền tảng FPGA

Chia sẻ: _ _ | Ngày: | Loại File: PDF | Số trang:165

13
lượt xem
6
download
 
  Download Vui lòng tải xuống để xem tài liệu đầy đủ

Luận án Tiến sĩ Kỹ thuật "Nghiên cứu phát triển chip cho hệ điều khiển tựa từ thông rotor động cơ xoay chiều ba pha trên nền tảng FPGA" trình bày các nội dung chính sau: Phát triển thiết kế phần cứng / phần mềm các thuật toán điều khiển FOC dựa trên nền tảng FPGA; Thiết kế chip điều khiển mạch vòng dòng điện FOC cho động cơ xoay chiều ba pha dựa trên FPGA; Thiết kế cấu trúc điều khiển bền vững cho động cơ đồng bộ nam châm vĩnh cửu sử dụng thuật toán điều khiển kháng nhiễu.

Chủ đề:
Lưu

Nội dung Text: Luận án Tiến sĩ Kỹ thuật: Nghiên cứu phát triển chip cho hệ điều khiển tựa từ thông rotor động cơ xoay chiều ba pha trên nền tảng FPGA

  1. BỘ GIÁO DỤC VÀ ĐÀO TẠO ĐẠI HỌC BÁCH KHOA HÀ NỘI LÊ NAM DƯƠNG NGHIÊN CỨU PHÁT TRIỂN CHIP CHO HỆ ĐIỀU KHIỂN TỰA TỪ THÔNG ROTOR ĐỘNG CƠ XOAY CHIỀU BA PHA TRÊN NỀN TẢNG FPGA LUẬN ÁN TIẾN SĨ KỸ THUẬT ĐIỀU KHIỂN VÀ TỰ ĐỘNG HÓA Hà Nội - 2023
  2. BỘ GIÁO DỤC VÀ ĐÀO TẠO ĐẠI HỌC BÁCH KHOA HÀ NỘI LÊ NAM DƯƠNG NGHIÊN CỨU PHÁT TRIỂN CHIP CHO HỆ ĐIỀU KHIỂN TỰA TỪ THÔNG ROTOR ĐỘNG CƠ XOAY CHIỀU BA PHA TRÊN NỀN TẢNG FPGA Ngành: Kỹ thuật điều khiển và tự động hóa Mã số: 9520216 LUẬN ÁN TIẾN SĨ KỸ THUẬT ĐIỀU KHIỂN VÀ TỰ ĐỘNG HÓA NGƯỜI HƯỚNG DẪN KHOA HỌC 1. PGS.TS. Vũ Hoàng Phương 2. PGS.TS. Nguyễn Văn Liễn Hà Nội - 2023
  3. LỜI CAM ĐOAN Tôi xin cam đoan đây là công trình nghiên cứu của cá nhân tôi dưới sự hướng dẫn của tập thể hướng dẫn. Tài liệu tham khảo trong luận án được trích dẫn đầy đủ. Các kết quả nghiên cứu của luận án là trung thực và chưa từng được các tác giả khác công bố. Hà Nội, ngày tháng năm 2023 Tập thể hướng dẫn khoa học Nghiên cứu sinh PGS.TS Vũ Hoàng Phương PGS.TS Nguyễn Văn Liễn Lê Nam Dương i
  4. LỜI CẢM ƠN Trải qua một thời gian dài, với rất nhiều khó khăn và thử thách về mặt chuyên môn, về kinh nghiệm nghiên cứu, nghiên cứu sinh đã hoàn thành bản luận án của mình. Trong suốt quá trình đó, tác giả đã luôn nhận được sự quan tâm, giúp đỡ, hỗ trợ vô cùng lớn lao của tập thể hướng dẫn, của các đơn vị chuyên môn tại đơn vị đào tạo cũng như đơn vị công tác, các nhà khoa học, các đồng nghiệp, các anh chị em cùng học nghiên cứu sinh. Qua đây, tác giả muốn gửi lời cảm ơn chân thành và trân trọng tới các thầy PGS.TS Nguyễn Văn Liễn và PGS.TS Vũ Hoàng Phương, tập thể hướng dẫn đã có những định hướng sâu sắc để nghiên cứu sinh không chỉ hoàn thành luận án mà còn trưởng thành trong tư tưởng và thái độ đối với vấn đề chuyên môn và nghiên cứu khoa học. Tác giả xin chân thành cảm ơn tới các Thầy GS.TSKH Nguyễn Phùng Quang, PGS.TS Trần Trọng Minh, PGS.TS Nguyễn Quang Địch, các cán bộ Viện và các anh chị em NCS Viện Kỹ thuật Điều khiển & Tự động hóa đã cho nghiên cứu sinh rất nhiều đóng góp ý trong các buổi cáo cáo chuyên môn định kỳ tại Viện. Tác giả xin chân thành cảm ơn tới TS. Đào Quý Thịnh, TS. Giáp Văn Nam, các thầy cô và các anh chị em NCS Khoa Tự động hóa, trường Điện – Điện tử đã động viên và giúp đỡ nghiên cứu sinh trong quá trình nghiên cứu. Tác giả xin cảm ơn đến PGS.TS Nguyễn Tùng Lâm, TS. Nguyễn Danh Huy, Th.s Vũ Lê Minh, Th.s Lê Đức Thịnh, Th.s Tạ Thế Tài cùng các bạn sinh viên Nguyễn Thanh Thắng, Hoàng Nam Đàn thuộc Lab ME đã hỗ trợ NCS trong quá trình thực hiện mô phỏng và sửa chữa luận án. Tác giả cảm ơn NCS Đỗ Tuấn Anh và các bạn sinh viên Đỗ Tùng Dương, Trần Văn Hùng, Nguyễn Văn Nghĩa, Bùi Đức Tâm thuộc Lab PE đã hỗ trợ NCS trong quá trình làm thực nghiệm và lấy kết quả nghiên cứu. Tác giả xin cảm ơn các phòng ban của Đại học Bách Khoa Hà Nội đã tạo điều kiện thuận lợi cho tác giả trong suốt quá trình thực hiện đề tài luận án. Tác giả xin cảm ơn đến Ban Giám hiệu Trường Đại học Quy Nhơn đã đồng ý về chủ trương, tạo điều kiện cho nghiên cứu sinh đi học, cảm ơn đến Ban chủ nhiệm và các thầy cô đồng nghiệp Khoa Kỹ thuật và Công Nghệ đã hỗ trợ để nghiên cứu sinh vừa hoàn thành nhiệm vụ chuyên môn vừa nghiên cứu luận án. Cuối cùng, tác giả xin được bày tỏ lòng biết ơn đến gia đình hai bên, ba mẹ, vợ và hai con, những người luôn đồng hành, cảm thông và động viên tác giả trong quá trình hoàn thành luận án. Tác giả xin gửi lời cảm ơn đến anh chị em trong gia đình và bạn bè, luôn động viên và hỗ trợ tác giả. Lê Nam Dương ii
  5. Mục lục LỜI CAM ĐOAN i LỜI CẢM ƠN ii DANH MỤC CÁC TỪ VIẾT TẮT VÀ KÝ HIỆU v DANH MỤC HÌNH ẢNH, ĐỒ THỊ vii DANH MỤC BẢNG BIỂU xi MỞ ĐẦU 1 1. Tính cấp thiết của đề tài ........................................................................................... 1 2. Đối tượng và phạm vi nghiên cứu ............................................................................ 2 3. Mục tiêu nghiên cứu................................................................................................. 2 4. Phương pháp nghiên cứu.......................................................................................... 3 5. Những đóng góp mới của luận án ............................................................................ 3 6. Ý nghĩa khoa học và thực tiễn.................................................................................. 3 7. Bố cục và nội dung của luận án ............................................................................... 4 CHƯƠNG 1 TỔNG QUAN VỀ THUẬT TOÁN ĐIỀU KHIỂN FOC CHO ĐỘNG CƠ XOAY CHIỀU BA PHA 6 1.1 Đặt vấn đề .............................................................................................................. 6 1.2. Giải pháp thiết kế bộ điều khiển dòng điện .......................................................... 8 1.2.1 Mô hình toán học động cơ xoay chiều ba pha ........................................... 8 1.2.2 Tóm tắt về điều khiển mạch vòng dòng điện ........................................... 12 1.3 Công nghệ DSP trong điều khiển động cơ xoay chiều ba pha ............................. 20 1.4 Công nghệ FPGA trong điều khiển động cơ xoay chiều ba pha .......................... 22 1.5 Định hướng nghiên cứu của luận án .................................................................... 24 1.6 Kết luận chương 1 ................................................................................................ 24 CHƯƠNG 2 GIẢI PHÁP THIẾT KẾ BỘ ĐIỀU KHIỂN CHO ĐỘNG CƠ XOAY CHIỀU BA PHA TRÊN NỀN TẢNG FPGA 25 2.1 Tổng quan về FPGA ............................................................................................ 25 2.1.1 Cấu trúc chung của FPGA .................................................................. 26 2.2 Thực hiện chuẩn hóa dữ liệu trên FPGA.............................................................. 31 2.2.1 Chuẩn hóa dữ liệu ADC ..................................................................... 31 2.2.2 Chuẩn hóa dữ liệu ............................................................................. 36 2.2.2 Chuẩn hóa dữ liệu bộ điều khiển dòng điện PI ........................................ 36 2.3 Phương pháp thiết kế dựa trên FPGA ................................................................. 40 2.3.1. Đặc tả hệ thống sơ bộ........................................................................ 42 2.3.2. Phát triển thuật toán .......................................................................... 42 2.3.3. Phát triển kiến trúc dựa FPGA ............................................................ 44 iii
  6. 2.3.4. Thực nghiệm ................................................................................... 47 2.4 Tổng kết chương 2 ............................................................................... 47 CHƯƠNG 3. THIẾT KẾ CẤU TRÚC ĐIỀU KHIỂN CHO ĐỘNG CƠ XOAY CHIỀU BA PHA TRÊN NỀN TẢNG FPGA 48 3.1 Đặt vấn đề ............................................................................................................ 48 3.2 Thiết kế khối dùng chung cho động cơ xoay chiều ba pha dựa trên FPGA......... 49 3.2.1 Thiết kế bộ điều khiển dòng điện PI ...................................................... 53 3.2.2 Thiết kế khâu đo dòng điện ................................................................. 56 3.2.3 Thiết kế khâu đo tốc độ ...................................................................... 60 3.2.4 Thiết kế các khâu chuyển hệ tọa độ ...................................................... 65 3.2.5 Thiết kế khâu phát xung SVM ............................................................. 68 3.3 Mô hình thực nghiệm thời gian thực .................................................................... 74 3.3.1 Thiết bị Typhoon HIL 402 .................................................................. 75 3.3.2 Triển khai trên Typhoon HIL .............................................................. 76 3.4 Thiết kế và kết quả thực nghiệm cho động cơ KĐB-RLS ................................... 80 3.4.1 Thiết kế mô hình từ thông ................................................................... 80 3.4.2 Kết quả thực nghiệm.......................................................................... 82 3.5 Thiết kế và kết quả thực nghiệm cho động cơ đồng bộ kích thích vĩnh cửu ...... 89 3.6 Kết luận chương 3 ................................................................................................ 96 CHƯƠNG 4: ĐIỀU KHIỂN KHÁNG NHIỄU CHO ĐỘNG CƠ ĐỒNG BỘ KÍCH THÍCH VĨNH CỬU 97 4.1 Các ảnh hưởng của nhiễu lên động cơ không đồng bộ xoay chiều ba pha .......... 97 4.2 Các phương pháp kháng nhiễu sử dụng các cấu trúc điều khiển nâng cao ........ 100 4.3 Thiết kế thuật toán kháng nhiễu phi tuyến cho động cơ đồng bộ kích thích vĩnh cửu. .................................................................................................................................... 102 4.3.1 Mô hình hóa động cơ PMSM ............................................................ 102 4.3.2 Thiết kế bộ quan sát nhiễu phi tuyến và đánh giá ổn định ........................ 104 4.4 Cấu trúc điều khiển và mô phỏng.......................................................... 107 4.4.1 Cấu trúc điều khiển ......................................................................... 107 4.4.2 Kết quả mô phỏng ........................................................................... 108 4.3 Kết luận chương ................................................................................................. 115 KẾT LUẬN VÀ KIẾN NGHỊ 116 DANH MỤC CÁC CÔNG TRÌNH ĐÃ CÔNG BỐ CỦA LUẬN ÁN 117 TÀI LIỆU THAM KHẢO 118 PHỤ LỤC PL1 iv
  7. DANH MỤC CÁC TỪ VIẾT TẮT VÀ KÝ HIỆU 1. Danh mục các từ viết tắt Từ viết tắt Ý nghĩa tiếng anh Ý nghĩa tiếng việt ADC Analog-to-Digital Converter Bộ chuyển đổi tương tự - số Clk Clock Xung nhịp CPU Central Processing Unit Bộ xử lý trung tâm DSP Digital Signal Processor Xử lý tín hiệu số FSM Finite-State Machine Máy trạng thái hữu hạn FOC Field Oriented Control Điều khiển hướng trường Field Programmable Gate FPGA Mảng cổng lập trình trường Array ĐB-KTVC Đồng bộ - kích thích vĩnh cửu ĐCXCBP Động cơ xoay chiều ba pha Hard ware in the loop HIL Mô phỏng thiết bị phần cứng simulation Insulated Gate Bipolar IGBT Van bán dẫn IGBT Transistor IM Induction motor Động cơ không đồng bộ IP Intellectual Property Tài sản trí tuệ MHTT Mô hình tính toán từ thông KĐB-RLS Không đồng bộ -rotor lồng sóc KĐB Không đồng bộ Pemanent Magnet Động cơ đồng bộ nam châm vĩnh PMSM Synchronous Motor cửu PI Proportional-Intergral Bộ điều khiển PI PWM Pulse Width Modulation Điều chế độ rộng xung SoC System on chip Hệ thống trên chip Space vector Pulse Width Điều chế độ rộng xung vector SVPWM Modulation không gian Verilog Hardware VHDL Ngôn ngữ mô tả phần cứng Description Language v
  8. 2. Danh mục các ký hiệu Ký Hiệu Đơn vị Ý Nghĩa Lsd mH Điện cảm pha của stator trên trục d Lsq mH Điện cảm pha của stator trên trục q Lm mH Hỗ cảm giữa cuộn dây rotor với cuộn dây stator λm wb Từ thông móc vòng giữa từ trường rotor với stator λf wb Từ thông rotor isd A Dòng điện stator trên trục d isq A Dòng điện stator trên trục q λsd wb Từ thông stator trên trục d λsq wb Từ thông stator trên trục q usd V Điện áp stator trên trục d usq V Điện áp stator trên trục q ω rad/s Tốc độ góc rotor θe rad Vị trí vector từ trường rotor isα A Dòng điện stator trên trục α isβ A Dòng điện stator trên trục β usα V Điện áp stator trên trục α usβ V Điện áp stator trên trục β Rs Ω Điện trở stator J1 , J2 Kg.m2 Mômen quán tính động cơ và tải ψs , ψr Wb Vector từ thông stator và rotor ψ sd ,ψ sq , Các thành phần của vector từ thông stator và rotor trên Wb ψ rd ,ψ rq hệ tọa độ dq zp Số cặp cực vi
  9. DANH MỤC HÌNH ẢNH, ĐỒ THỊ Hình 1.1 Sơ đồ cấu trúc của ĐCKĐB-RLS điều khiển kiểu theo nguyên lý tựa theo từ thông rotor. ................................................................................................................................ 9 Hình 1.2 Sơ đồ cấu trúc của ĐCĐB-KTVC điều khiển kiểu nguyên lý tựa theo từ thông rotor ...................................................................................................................................... 11 Hình 1.3 Cấu trúc mạch vòng điều khiển dòng điện .......................................................... 14 Hình 1.4 Cấu trúc mạch vòng điều khiển tốc độ ................................................................ 15 Hình 1.5 Cấu trúc mạch vòng điều khiển từ thông rotor .................................................... 15 Hình 1.6 Cấu trúc điều khiển đan kênh của bộ điều khiển dòng điện ................................ 16 Hình 1.7 Cấu trúc bộ điều khiển dòng stator isd ................................................................. 17 Hình 1.8 Cấu trúc của bộ điều khiển tốc độ quay ............................................................... 18 Hình 1.9 Mạch vòng tốc độ xét tới ảnh hưởng của mômen tải ........................................... 19 Hình 1.10 Cấu trúc hệ truyền động trên DSP ..................................................................... 21 Hình 2.1 Cấu trúc chung của FPGA ................................................................................... 26 Hình 2.2 Khối CLB ............................................................................................................. 27 Hình 2.3 Khối kết nối ......................................................................................................... 27 Hình 2.4 Cấu trúc chung của một khối I/O ......................................................................... 28 Hình 2.5 Cấu trúc vi xử lý bên trong kit FPGA Zybo Z7-20 họ Zynq-7000 [83] .............. 29 Hình 2.6 Sơ đồ khối của XADC. ........................................................................................ 31 Hình 2.7 Các cổng vào ra của XADC ................................................................................. 33 Hình 2.8 Đồ thị chuyển đổi dữ liệu trong chế độ đơn cực .................................................. 35 Hình 2.9 Đồ thị chuyển đổi dữ liệu trong chế độ lưỡng cực .............................................. 35 Hình 2.10 Sơ đồ khối bộ điều khiển PI ............................................................................... 36 Hình 2.11 Sơ đồ khối bộ điều khiển dòng điện PI .............................................................. 38 Hình 2.12 Quy trình xử lý tổng thể của bộ điều khiển dòng PI .......................................... 39 Hình 2.13 Phương pháp thiết kế FPGA được đề xuất ........................................................ 41 Hình 3.1 Sơ đồ khối của mạch vòng dòng điện .................................................................. 48 Hình 3.2 Kiến trúc phân cấp module .................................................................................. 49 Hình 3.3 Kiến trúc phần cứng bộ điều khiển dòng điện ..................................................... 50 Hình 3.4 Thiết kế mạch vòng dòng điện sử dụng phương pháp xử lý song song............... 51 Hình 3.5 Trạng thái máy mô tả mạch vòng dòng điện ....................................................... 52 Hình 3.6 Lưu đồ thuật toán của bộ điều khiển PI trên nền tảng FPGA .............................. 55 Hình 3.7 Khối XADC trong phần mềm Vivado Design Suites. ......................................... 57 Hình 3.8 Lưu đồ thuật toán điều khiển khối XADC và tách tín hiệu 2 kênh đo ................ 58 Hình 3.9 Lưu đồ thuật toán tính 3 dòng điện stator. ........................................................... 59 vii
  10. Hình 3.10 Các khối thực hiện đo dòng stator trên FPGA ................................................... 60 Hình 3.11 Nhiễu của động cơ trên encoder. ....................................................................... 60 Hình 3.12 Trạng thái logic chuẩn trên 2 kênh a và b khi động cơ quay ............................. 61 Hình 3.13 Lưu đồ thuật toán đọc encoder........................................................................... 64 Hình 3.14 Lưu đồ thuật toán khối chuyển hệ tọa độ abc sang dq. ...................................... 66 Hình 3.15 Lưu đồ thuật toán khối chuyển tọa độ dq sang αβ. ............................................ 67 Hình 3.16 Sáu sector trên hệ tọa độ αβ. .............................................................................. 68 Hình 3.17 Lưu đồ thuật toán khối chọn sector.................................................................... 69 Hình 3.18 Lưu đồ thuật toán khối duty cycle. .................................................................... 70 Hình 3.19 Kết quả mô phỏng khối tạo xung răng cưa trên Vivado. ................................... 71 Hình 3.20 SVM thực hiện trên nền tảng FPGA .................................................................. 71 Hình 3.21 Thuật toán điều khiển dòng điện trên FPGA ..................................................... 72 Hình 3.22 Kết quả mô phỏng RTL của cấu trúc bộ điều khiển dòng điện ......................... 73 Hình 3.23 Typhoon HIL 402 .............................................................................................. 75 Hình 3.24 Cấu hình mạch lực trên môi trường Typhoon HIL ............................................ 76 Hình 3.25 Cấu hình các van điều khiển của mạch van ....................................................... 77 Hình 3.26 Sơ đồ ghép nối HIL- FPGA ............................................................................... 78 Hình 3.27 Sơ đồ nối dây giữa FPGA và Typhoon HIL 402. .............................................. 78 Hình 3.28 Hệ thống mô phỏng HIL cho động cơ xoay chiều ba pha trên FPGA ............... 79 Hình 3.29 Lưu đồ thuật toán thực hiện khối mô hình từ thông. ......................................... 81 Hình 3.30 Chu kì thực hiện thuật toán FOC với tần số 100kHz ......................................... 83 Hình 3.31 Thời gian thực hiện thuật toán FOC cho động cơ KĐB-RLS............................ 84 Hình 3.32 Dòng điện stator khi ωsp = 150( rad / s ) . .......................................................... 85 Hình 3.33 Tốc độ động cơ và mômen động cơ sinh ra khi ωsp = 150( rad / s ) .................. 85 Hình 3.34 Dòng điện stator khi ωsp = 95( rad / s ) . ............................................................. 85 Hình 3.35 Tốc độ động cơ và mômen động cơ sinh ra khi ωsp = 95( rad / s ) . .................... 86 Hình 3.36 Dòng điện stator khi ωsp = (rad / s) . .............................................................. 86 190 Hình 3.37 Tốc độ động cơ và mômen động cơ sinh ra khi ωsp = 190( rad / s ) . ................... 86 Hình 3.38 Dòng điện stator khi ωsp = (rad / s) . .............................................................. 87 150 Hình 3.39 Tốc độ động cơ và mômen động cơ sinh ra khi ωsp = 150( rad / s ) . ................. 87 Hình 3.40 Dòng điện stator khi ωsp = (rad / s) . .............................................................. 87 30 Hình 3.41 Tốc độ động cơ và mômen động cơ sinh ra khi ωsp = 30( rad / s ) . ................. 87 Hình 3.42 Dòng điện stator khi ωsp = 190( rad / s ) . .............................................................. 88 Hình 3.43 Dòng điện stator khi ωsp = 128( rad / s ) . .......................................................... 88 Hình 3.44 Tốc độ động cơ và mômen động cơ sinh ra khi ωsp = 128( rad / s ) . ................... 88 viii
  11. Hình 3.45 Dòng điện stator khi ωsp = 32( rad / s ) . ............................................................. 89 Hình 3.46 Tốc độ động cơ và mômen động cơ sinh ra khi ωsp = 32( rad / s ) . .................... 89 Hình 3.47 Tài nguyên sử dụng trên FPGA ......................................................................... 90 Hình 3.48 Chu kì thực hiện thuật toán FOC với tần số 100kHz ......................................... 90 Hình 3.49 Thời gian thực hiện thuật toán FOC cho động cơ ĐB-KTVC trên FPGA ........ 91 Hình 3.50 Đáp ứng dòng điện stator khi tốc độ đặt là ωsp = 628( rad / s ) ........................ 92 Hình 3.51 Đáp ứng tốc độ và mômen khi tốc độ đặt là ωsp = 628( rad / s ) ....................... 92 Hình 3.52 Đáp ứng dòng điện stator khi tốc độ đặt là ωsp = 300( rad / s ) ........................ 92 Hình 3.53 Đáp ứng tốc độ và mômen khi tốc độ đặt ωsp = 300( rad / s ) ............................ 93 Hình 3.54 Đáp ứng tốc độ và mômen khi tốc độ đặt ωsp = 30( rad / s ) ............................. 93 Hình 3.55 Đáp ứng dòng điện stator, tốc độ và mômen khi tốc độ đặt là ωsp = 30( rad / s ) Mômen tải 15Nm .......................................................................................................... 94 Hình 3.56 Đáp ứng tốc độ và mômen khi tốc độ đặt là ωsp = 280( rad / s ) v .................... 94 Hình 3.57 Đáp ứng dòng điện stator khi tốc độ đặt là ωsp = 280( rad / s ) ........................ 95 Hình 3.58 Đáp ứng dòng điện stator khi tốc độ đặt là ωsp = 30( rad / s ) ........................... 95 Hình 3.59 Đáp ứng tốc độ và mômen khi tốc độ đặt là ωsp = 30( rad / s ) ......................... 95 Hình 4.1 Sơ đồ hàm truyền nhiễu mô men cản tác động vào hệ thống .............................. 98 Hình 4.2 Nhiễu tải ............................................................................................................... 99 Hình 4.3 a. Nhiễu tác động thực. b. Nhiễu tác động thông qua khâu hạ bậc ........ 99 Hình 4.4 Nhiễu đo lường .................................................................................................... 99 Hình 4.5 Cấu trúc điều khiển PID mờ .............................................................................. 100 Hình 4.6 Cấu trúc điều khiển trượt ................................................................................... 101 Hình 4.7 Cấu trúc điều khiển với bộ kháng nhiễu ............................................................ 101 Hình 4.8 (a) Cấu trúc điều khiển sử dụng bộ kháng nhiễu dựa trên điều khiển PI cho động cơ PMSM. (b) Cấu trúc chi tiết bộ kháng nhiễu Nonlinear Disturbance Observer. ... 107 Hình 4.10 Mô-men tải (Load Torque) và mô-men điện từ (Electromagnetic Torque)..... 109 Hình 4.11 Đáp ứng khi sử dụng và không sử dụng NDO ................................................. 109 (a) Điện áp theo trục d usd . (b) Điện áp theo trục q usq . .................................................. 109 Hình 4.12 Đáp ứng khi sử dụng và không sử dụng NDO ................................................. 110 (a) Dòng điện theo trục d isd . (b) Điện áp theo trục q isq . ................................................. 110 Hình 4.13 Giá trị thực và giá trị quan sát của nhiễu bất định của vòng tốc độ dω .......... 110 Hình 4.14 Giá trị thực và quan sát của nhiễu bất định vòng dòng điện theo trục d d d ... 111 ix
  12. Hình 4.15 Giá trị thực và quan sát của nhiễu bất định vòng dòng điện theo trục q d q .... 111 Hình 4.16 So sánh đáp ứng tốc độ khi sử dụng bộ kháng nhiễu NDO ............................. 112 Hình 4.17 Mô-men tải (Load Torque) và mô-men điện từ (Electromagnetic Torque)..... 112 Hình 4.18 Đáp ứng khi sử dụng và không sử dụng NDO ................................................. 113 (a) Điện áp theo trục d usd . (b) Điện áp theo trục q usq ................................................... 113 Hình 4.19 Đáp ứng khi sử dụng và không sử dụng NDO (a) Dòng điện theo trục d isd (b) Điện áp theo trục q isq ................................................................................................ 113 Hình 4.20 Giá trị thực và giá trị quan sát của nhiễu bất định vòng tốc độ dω ................. 114 Hình 4.21 Giá trị thực và giá trị quan sát của nhiễu bất định vòng dòng theo trục d d1d 114 Hình 4.22 Giá trị thực và giá trị quan sát của nhiễu bất định vòng dòng theo trục q d q . 114 x
  13. DANH MỤC BẢNG BIỂU Bảng 2.1 Nhóm DRP .......................................................................................................... 32 Bảng 2.2 Nhóm External Analog Input ............................................................................... 33 Bảng 2.3 Nhóm Alarms ....................................................................................................... 33 Bảng 2.4 Nhóm Status ......................................................................................................... 34 Bảng 2.5 Tín hiệu I/O của bộ điều khiển dòng điện PI ....................................................... 40 Bảng 3.1 Bảng ghép 2 trạng thái liền kề của encoder khi quay thuận................................. 61 Bảng 3.2 Bảng ghép 2 trạng thái liền kề của encoder khi quay nghịch............................... 62 Bảng 3.3 Thể hiện logic khi quay thuận/nghịch encoder .................................................... 63 Bảng 3.4 Bảng Giá trị của ma trận Mk ................................................................................ 68 Bảng 3.5 Duty cycle của từng nhóm van............................................................................. 69 Bảng 3.6 Đặc tính cơ bản của Typhoon HIL ....................................................................... 75 Bảng 3.7 Thông số kỹ thuật các cổng vào ra của Typhoon 402 .......................................... 77 Bảng 3.8 Thống kê tài nguyên khi lập trình bằng FPGA .................................................... 83 Bảng 3.9 Tài nguyên sử dụng trên FPGA ........................................................................... 90 xi
  14. MỞ ĐẦU 1. Tính cấp thiết của đề tài Trong suốt 20 năm qua, việc điều khiển các hệ thống điện công nghiệp đặc biệt là các động cơ xoay chiều ba pha đã được tập trung vào các nghiên cứu quan trọng và đã đạt được nhiều cải tiến đáng kể. Sự phát triển này chủ yếu xuất phát từ cuộc cách mạng công nghệ dẫn đến sự xuất hiện của các thành phần mạnh mẽ cho phép thực hiện các thuật toán điều khiển ngày càng phức tạp hơn. Với việc cải thiện độ tin cậy và hiệu suất của công nghệ số, điều khiển số đã chiếm ưu thế hơn điều khiển tương tự. Thật vậy, so với điều khiển tương tự truyền thống, điều khiển số mang lại nhiều lợi ích như tính mềm dẻo trong việc chỉnh sửa các chương trình điều khiển, thích ứng với các hệ thống và các điều kiện vận hành khác nhau, không bị ảnh hưởng bởi nhiễu, và không nhạy với sự thay đổi của các tham số thành phần. Ngày nay, điều khiển số chủ yếu được thực hiện với vi điều khiển (µP) hoặc bộ xử lý tín hiệu số (DSP) do tính mềm dẻo của phần mềm và chi phí thấp. Do đó các bộ điều khiển DSP được nhiều kỹ sư coi là một giải pháp thích hợp các thành phần này có một đơn vị logic toán học đặc biệt dành riêng cho tính toán theo thời gian thực. Chúng cũng tích hợp các thiết bị ngoại vi như bộ chuyển đổi tín hiệu tương tự - số (ADCs) và các timer, phù hợp với yêu cầu điều khiển của các động cơ điện. Tuy nhiên, một số ưu điểm của điều khiển tương tự vẫn còn rất khó khăn để thay thế, chẳng hạn như tính chính xác, và nhất là sự chậm trễ vòng lặp phản hồi. Trên thực tế, mặc dù các chương trình đa xử lý hoặc các bộ xử lý DSP hiệu suất cao có thể giải quyết vấn đề này nhưng chúng vẫn còn giới hạn đối với các cấu trúc thuật toán phức tạp và chi phí của chúng có thể vượt quá lợi ích mà chúng mang lại. Mảng cổng lập trình (FPGA) cũng có thể được xem như là một giải pháp thích hợp để tăng hiệu suất của các bộ điều khiển và giảm khoảng cách giữa điều khiển tương tự và điều khiển số. Khi kết hợp với ADC nhanh, khả năng tính toán nhanh của FPGA cho phép tính toán thời gian thực vài micro giây của các thuật toán điều khiển bất kể sự phức tạp của chúng. Mặt khác, FPGA cho phép phát triển kiến trúc điều khiển thích nghi tốt với các tần số lấy mẫu khác nhau. Đồng thời chúng cho phép thực hiện các chức năng điều khiển khác nhau được tích hợp toàn bộ hệ thống trên chip (SoC). Kết quả là, FPGA phát triển cho các ứng dụng động cơ điện và đã được ứng dụng thành công trong việc điều khiển bộ biến đổi độ rộng xung (PWM), các động cơ điện và thậm chí với hệ thống điều khiển đa thiết bị. Hơn nữa, giống như DSP, FPGA có thành phần chi phí rất thấp. 1
  15. Ngày nay, việc thu nhỏ, giảm khối lượng và tăng mật độ công suất các động cơ hiện có dẫn đến việc sử dụng các động cơ quay rất nhanh 10-100.000 vòng/phút. Các ứng dụng bao gồm xe điện, e-turbo, UAV, dụng cụ phẫu thuật và máy bơm tốc độ cao. Để có độ phân giải và điều khiển đầy đủ các dòng điện được áp dụng cho động cơ ở các tốc độ này, tần số của PWM và cập nhật điều khiển cần phải tăng từ khoảng 10kHz đến khoảng 200kHz. Các van bán dẫn IGBT được sử dụng trong bộ biến tần công suất cỡ trung bình không chuyển mạch đủ nhanh hoặc đủ hiệu quả để hỗ trợ PWM 100kHz. Van bán dẫn MOSFET đã có khả năng chuyển mạch ở các tần số này và được sử dụng trong các bộ chuyển đổi công suất nhỏ như bộ chuyển đổi DC-DC và bộ truyền động động cơ nhỏ, nhưng chúng không thích hợp cho các ứng dụng công suất cao. Các thiết bị bán dẫn băng thông rộng dựa trên SiC và GaN đang được phát triển nhanh chóng và đạt được tổn thất khi chuyển mạch và điện trở rất thấp cũng như khả năng công suất cao hơn. Các thiết bị này sẽ cho phép chuyển mạch ở tần số cao, để chế tạo các bộ điều khiển tần số cao cần thiết cho truyền động hiện đại. Với những phân tích trên, để giải quyết các vấn đề phát sinh từ cách tiếp cận DSP và vi điều khiển truyền thống, một phương pháp điều khiển phần cứng mới được phát triển là rất cần thiết cho các ứng dụng truyền động điện mật độ công suất cao. Trong luận án này, tác giả tập trung vào nghiên cứu phát triển chip cho hệ điều khiển tựa theo từ thông rotor động cơ xoay chiều ba pha trên nền tảng FPGA ứng dụng cho động cơ xoay chiều ba pha và động cơ có mật độ công suất cao. 2. Đối tượng và phạm vi nghiên cứu Đối tượng nghiên cứu: Động cơ xoay chiều ba pha và động cơ xoay chiều ba pha có mật độ công suất cao Phạm vi nghiên cứu: - Nghiên cứu phát triển Chip điều khiển cho động cơ xoay chiều ba pha. - Thiết kế các thuật toán điều khiển FOC trên nền tảng FPGA - Xây dựng cấu trúc điều khiển bền vững cho động cơ xoay chiều ba pha sử dụng bộ điều khiển kháng nhiễu. 3. Mục tiêu nghiên cứu - Phát triển thiết kế phần cứng / phần mềm các thuật toán điều khiển FOC dựa trên nền tảng FPGA. 2
  16. - Thiết kế chip điều khiển mạch vòng dòng điện FOC cho động cơ xoay chiều ba pha dựa trên FPGA. - Thiết kế cấu trúc điều khiển bền vững cho động cơ đồng bộ nam châm vĩnh cửu sử dụng thuật toán điều khiển kháng nhiễu. 4. Phương pháp nghiên cứu + Tổng quan các phương pháp thiết kế điều khiển dòng điện tựa từ thông rotor cho động cơ xoay chiều ba pha + Tổng hợp các công nghê thiết kế chip điều khiển như vi xử lý, DSP, ASIC, FPGA, … từ đó lựa chọn công nghệ phù hợp để thiết kế bộ điều khiển dòng điện stator cho động cơ xoay chiều ba pha + Thiết kế bộ điều khiển kháng nhiễu cho truyền động động cơ đồng bộ nam châm vĩnh cửu nhằm nâng cao chất lượng điều khiển. + Ứng dụng các phần mềm mô phỏng off-line Matlab/Simulink, mô phỏng thời gian thực HIL được sử dụng để đánh giá kết quả nghiên cứu. 5. Những đóng góp mới của luận án Luận án dự kiến có những đóng góp mới như sau: - Nghiên cứu, thiết kế thành công Chip điều khiển dòng điện tựa từ thông rotor động cơ xoay chiều ba pha trên nền tảng FPGA đáp ứng được các yêu cầu đề ra. - Phát triển một quy trình thiết kế chip hiệu quả để điều khiển dựa trên FPGA cho động cơ xoay chiều ba pha với việc giảm đáng kể sử dụng tài nguyên, thời gian thực thi và nâng cao hiệu suất điều khiển. - Đề xuất cấu trúc điều khiển bền vững cho động cơ đồng bộ nam châm vĩnh cửu sử dụng bộ điều khiển kháng nhiễu. 6. Ý nghĩa khoa học và thực tiễn a, Ý nghĩa khoa học: Đề tài tổng hợp, đánh giá phương pháp thiết kế cấu trúc điều khiển hệ truyền động FOC có khả năng ứng dụng thực tiễn. Bên cạnh đó đưa ra giải pháp và đánh giá cấu trúc điều khiển FOC cho động cơ xoay chiều ba pha trên nền tảng FPGA. Tính đúng đắn của lý thuyết được minh chứng bằng mô phỏng off-line, mô phỏng thời gian thực HIL. 3
  17. b, Ý nghĩa thực tiễn: Với kết quả nghiên cứu của đề tài có thể góp phần hoàn thiện một giải pháp điều khiển mới cho đồng cơ xoay chiều ba pha bằng chip chuyên dụng, khắc phục được một số nhược điểm của các phương pháp điều khiển kinh điển như giảm thời gian tính toán, tiết kiệm tài nguyên. Từ đó, mở ra một tiềm năng áp dụng cài đặt thuật toán điều khiển vào các thiết bị thương mại, nâng cao chất lượng điều khiển hệ truyền động điện xoay chiều ba pha. 7. Bố cục và nội dung của luận án Luận án gồm 4 chương và phần kết luận chung có các nội dung chính như sau: Chương 1: trình bày tổng quan về phương pháp điều khiển phương pháp điều khiển FOC có nhiều ưu điểm và được ứng dụng rộng rãi trong nghiệp. Sau đó đã đưa ra phân tích phương pháp điều khiển cho các mạch vòng điều chỉnh (dòng stator, từ thông và tốc độ) trên nền tảng DSP và FPGA. Dựa trên đặc điểm về đối tượng, tìm hiểu và đánh giá các công trình nghiên cứu liên quan đến DSP và FPGA, với các ưu nhược điểm và các kết quả, qua đó lựa chọn nền tảng công nghệ thiết kế phương pháp điều khiển phù hợp cho đối tượng luận án. Chương 2: trình bày về cấu trúc chung của một FPGA trong đó các phần tử FPGA quan trọng và phù hợp nhất được trình bày, sự đóng góp và ứng dụng của FPGA trong lĩnh vực điện tử công suất và các ứng dụng điều khiển, đặc biệt trong trường hợp các thuật toán điều khiển phức tạp. Ưu điểm của việc sử dụng FPGA trong lĩnh vực này cũng như các ràng buộc triển khai để quản lý đều được tập trung vào. Cuối cùng, một quy trình thiết kế FPGA cho các ứng dụng truyền động và điện tử công suất sẽ được trình bày. Chương 3: trình bày phương pháp thiết kế và triển khai bộ điều khiển mạch vòng dòng điện trên FPGA có thể lập trình được. Trước hết, một phương pháp trạng thái máy hữu hạn tuần tự (FSM) được đề xuất và chỉ ra cách thực hiện các phép toán và tính toán hàm trên FPGA. Phương pháp này sẽ được áp dụng cho thiết kế VHDL (ngôn ngữ mô tả phần cứng-mạch tích hợp tốc độ rất cao) cho các thuật toán điều khiển trong luận án này. Chương 4: phân tích nhiễu tác động vào hệ điều khiển động cơ xoay chiều ba pha theo cấu trúc FOC. Từ đó đề xuất các phương pháp điều khiển nâng cao có khả năng loại bỏ các nhiễu này. Bộ kháng nhiễu là một trong những phương pháp điều khiển nâng cao hiệu quả mà chương này sẽ đi vào thiết kế bộ kháng nhiễu hỗ trợ điều khiển 4
  18. PI. Các kết quả mô phỏng sẽ được trình bày và so sánh với cấu trúc điều khiển PI để thấy rõ hiệu quả của việc sử dụng bộ kháng nhiễu này. Thông số động cơ xoay chiều ba pha, Thông số mô phỏng thời gian thực HIL, các thiết kế bộ điều khiển dòng stator, tốc độ được trích dẫn trong phụ lục. Phần cuối là kết luận và kiến nghị của luận án. 5
  19. CHƯƠNG 1 TỔNG QUAN VỀ THUẬT TOÁN ĐIỀU KHIỂN FOC CHO ĐỘNG CƠ XOAY CHIỀU BA PHA 1.1 Đặt vấn đề Lĩnh vực điều khiển truyền động điện đã được mở rộng nhanh chóng trong những năm gần đây, chủ yếu nhờ những thành tựu về công nghệ chất bán dẫn cho cả điện tử công suất và xử lý tín hiệu. Những cải tiến về công nghệ đã mở đường cho những thiết kế truyền động thực sự hiệu quả. Các thuật toán điều khiển truyền động trở nên chính xác và mạnh mẽ hơn bao giờ hết. Các động cơ AC được điều khiển bằng vector phát triển đáng kể từ những thành tựu này [1]. Như đã biết, động cơ xoay chiều điều khiển vectơ có được hầu hết mọi ưu điểm của động cơ một chiều điều khiển bằng bộ biến đổi mà lại loại bỏ được chuyển mạch cơ khí (chổi than và cổ góp). Mặt khác, cấu trúc điều khiển này mang lại hiệu suất cao bằng cách đạt được trạng thái ổn định tốt hơn [1,6]. Điều khiển động cơ thông thường sử dụng vi điều khiển hoặc chip xử lý tín hiệu kỹ thuật số (DSP) để thực hiện tất cả các thuật toán [2-6]. Chúng có một số ưu điểm, chẳng hạn như dễ lập trình và khả năng cung cấp bộ điều chế độ rộng xung (PWM). Tuy nhiên, chúng phải thực hiện tất cả các thuật toán theo trình tự tuần tự, do đó giới hạn tốc độ tính toán của thuật toán. Để giải quyết vấn đề này, hiện nay, cách tiếp cận đa CPU được sử dụng trong một số ứng dụng. Điều này tất yếu dẫn đến việc điều khiển phức tạp và tăng chi phí. Ngày nay, Mảng cổng lập trình hiện trường (FPGA) đã được sử dụng rộng rãi như một thành phần quan trọng trong việc triển khai các bộ xử lý hiệu suất cao. Tốc độ, kích thước, số lượng đầu vào và đầu ra của FPGA hiện vượt xa tốc độ của bộ vi xử lý hoặc bộ xử lý DSP. FPGA là lựa chọn lý tưởng để tạo ra các bộ xử lý hiệu suất cao với khả năng triển khai các kiến trúc số học song song cao [8]. Mặc dù công nghệ của bộ xử lý tín hiệu kỹ thuật số (DSP) có sẵn cho các ứng dụng điều khiển động cơ xoay chiều kỹ thuật số [3], nhưng việc sử dụng ASIC và FPGA trong điều khiển động cơ và các ứng dụng khác trở nên phổ biến nhờ các thành tựu trong mạch tích hợp chuyên dụng (ASIC) và mảng cổng lập trình trường (FPGA) [7][10][18][20]. Ban đầu, FPGA được giới thiệu vào năm 1984 chỉ cung cấp giao diện ngoại vi cho các bộ xử lý chính. Nhưng hiện nay chúng bắt đầu được sử dụng trong các nhiệm vụ khác 6
  20. nhau, từ bộ điều khiển chính trong các ứng dụng điều khiển động cơ, đến điều khiển toàn bộ hệ thống [10][11]56][59]. Trong lĩnh vực điều khiển hiệu suất cao, việc sử dụng công nghệ thực thi phần cứng dựa trên FPGA đã trở thành một phương pháp thiết kế mới được ưa chuộng [15]. So với việc sử dụng công nghệ DSP, phương pháp này cung cấp khả năng linh hoạt và hiệu suất cao hơn và không làm cạn kiệt tài nguyên của CPU. FPGA chỉ là một tập hợp các ô tiêu chuẩn không có chức năng cụ thể nào, nhưng do có thể lập trình trường và tái sử dụng các lõi IP, người dùng có thể thiết kế ASIC theo sơ đồ của họ với các công cụ định tuyến và định vị chuyên nghiệp trong thời gian ngắn nhất [77]. Việc sử dụng FPGA cho phép hệ thống đạt được mức tốc độ và độ chính xác cao hơn bằng cách thực hiện xử lý song song bằng chế độ phần cứng mà không chiếm dụng nhiều bộ nhớ CPU [24-25]. Trong [23][35][50], bộ điều khiển dòng điện kỹ thuật số được thiết kế tích hợp cả bộ điều chế delta phi tuyến và bộ điều chỉnh PI tuyến tính và có thể thu được băng thông rất cao (điều này này chính là yêu cầu của mạch vòng dòng điện vì nó yêu cầu tính động học cao để đảm bảo tính chính xác và nhanh trong điều khiển dòng điện trong hệ thống FOC). Hiện nay các thiết bị van bán dẫn băng thông rộng phát triển nhanh chóng dựa trên công nghệ GaN và SiC mang lại tiềm năng cải thiện mật độ công suất của các hệ thống chuyển đổi công suất [15][45][74]. So với phần tử Silic tương đương, GaN cung cấp điện trở thấp hơn và tốc độ chuyển mạch cao hơn trong cùng điều kiện hoạt động. Trong lĩnh vực điều khiển động cơ, các thiết bị dựa trên Si gặp phải các vấn đề về điều khiển tần số chuyển mạch thấp. Hơn nữa, xu hướng thu nhỏ các động cơ được sử dụng trong máy bay điện và các loại máy móc trong tương lai đang dẫn đến việc sử dụng các động cơ quay tốc độ cao 10.000–100.000 vòng/phút. Để tận dụng hết tần số đóng cắt cao của thiết bị GaN trong điều khiển động cơ, cần phải tăng tần số điều khiển dòng điện và FPGA đáp ứng được vấn đề này [15][74]. Để giải quyết những vấn đề trên, nếu FPGA có CPU tích hợp, tất cả các bộ điều khiển này có thể thực hiện chỉ với một chip duy nhất, dẫn đến một hệ thống trên chip (SoC) thực, đây là xu hướng quan trọng của thiết kế tích hợp điều khiển truyền động hiệu suất cao [10][29][69]. Điều này giúp tăng hiệu suất và giảm chi phí cho hệ thống điều khiển chuyển động, đồng thời tăng tính linh hoạt trong thiết kế và phát triển sản phẩm. Để đạt được mục đích nghiên cứu, đầu tiên tác giả tổng quát các phương pháp, cấu trúc điều khiển và đánh giá những vấn đề còn tồn tại, từ đó đề xuất giải pháp thiết kế phát triển CHIP cho mạch vòng dòng stator, mạch vòng tốc độ và đề xuất giải pháp thiết kế chip điều khiển phù hợp cho hệ truyền động động cơ xoay chiều ba pha dựa 7
ADSENSE

CÓ THỂ BẠN MUỐN DOWNLOAD

 

Đồng bộ tài khoản
2=>2