intTypePromotion=1
zunia.vn Tuyển sinh 2024 dành cho Gen-Z zunia.vn zunia.vn
ADSENSE

Luận án tiến sĩ Kỹ thuật: Nghiên cứu, xây dựng giải pháp bảo mật dữ liệu thời gian thực truyền trên mạng ip bằng thiết bị phần cứng chuyên dụng

Chia sẻ: Trần Văn Yan | Ngày: | Loại File: PDF | Số trang:142

55
lượt xem
4
download
 
  Download Vui lòng tải xuống để xem tài liệu đầy đủ

Luận án được nghiên cứu với mục tiêu nhằm Nghiên cứu các thuật toán mật mã khối, một số giao thức bảo mật dữ liệu thời gian thực, cơ sở lý thuyết về thiết kế thuật toán mật mã khối. Trên cơ sở đó đề xuất một số thuật toán mật mã khối phù hợp, an toàn và đảm bảo có hiệu quả tích hợp cho phần cứng chuyên dụng dạng VLSI (FPGA, ASIC).

Chủ đề:
Lưu

Nội dung Text: Luận án tiến sĩ Kỹ thuật: Nghiên cứu, xây dựng giải pháp bảo mật dữ liệu thời gian thực truyền trên mạng ip bằng thiết bị phần cứng chuyên dụng

  1. BỘ THÔNG TIN VÀ TRUYỀN THÔNG HỌC VIỆN CÔNG NGHỆ BƯU CHÍNH VIỄN THÔNG PHẠM MẠNH TUẤN NGHIÊN CỨU, XÂY DỰNG GIẢI PHÁP BẢO MẬT DỮ LIỆU THỜI GIAN THỰC TRUYỀN TRÊN MẠNG IP BẰNG THIẾT BỊ PHẦN CỨNG CHUYÊN DỤNG LUẬN ÁN TIẾN SĨ KỸ THUẬT \ Hà Nội - Năm 2017
  2. BỘ THÔNG TIN VÀ TRUYỀN THÔNG HỌC VIỆN CÔNG NGHỆ BƯU CHÍNH VIỄN THÔNG PHẠM MẠNH TUẤN NGHIÊN CỨU, XÂY DỰNG GIẢI PHÁP BẢO MẬT DỮ LIỆU THỜI GIAN THỰC TRUYỀN TRÊN MẠNG IP BẰNG THIẾT BỊ PHẦN CỨNG CHUYÊN DỤNG Chuyên ngành : Kỹ thuật Điện tử Mã số: 62.52.02.03 LUẬN ÁN TIẾN SĨ KỸ THUẬT NGƯỜI HƯỚNG DẪN KHOA HỌC: 1. PGS.TS Lê Mỹ Tú 2. TS. Vũ Tuấn Lâm Hà Nội - Năm 2017
  3. I LỜI CAM ĐOAN Tôi xin cam đoan các kết quả trình bày trong luận án là công trình nghiên cứu của tôi dưới sự hướng dẫn của các cán bộ hướng dẫn. Các số liệu, các kết quả trình bày trong luận án hoàn toàn trung thực và chưa được công bố trong các công trình trước đây. Các kết quả sử dụng tham khảo đều được trích dẫn đầy đủ và theo đúng quy định. Hà Nội, ngày tháng năm 2017 Nghiên cứu sinh Đỗ Thị Bắc
  4. II LỜI CẢM ƠN Trong quá trình nghiên cứu và hoàn thành luận án, nghiên cứu sinh đã nhận được sự định hướng, giúp đỡ, các ý kiến đóng góp quý báu và những lời động viên của các nhà khoa học, các thầy cô giáo, đồng nghiệp và gia đình. Trước hết, nghiên cứu sinh xin bày tỏ lời cảm ơn tới các Thầy PGS.TS Lê Mỹ Tú, TS. Vũ Tuấn Lâm, PGS.TS Nguyễn Hiếu Minh đã tận tình hướng dẫn và giúp đỡ trong quá trình nghiên cứu. Cho phép nghiên cứu sinh chân thành cảm ơn các thầy cô giáo, các nhà khoa học của Học viện Kỹ thuật Mật mã, Học viện Công nghệ Bưu chính-Viễn thông, Học viện Kỹ thuật Quân sự ... đã có các góp ý quý báu cho nghiên cứu sinh trong quá trình thực hiện luận án này. Nghiên cứu sinh chân thành cảm ơn Ban Giám đốc, Phòng Sau đại học đã tạo điều kiện thuận lợi để nghiên cứu sinh hoàn thành nhiệm vụ nghiên cứu. Cuối cùng nghiên cứu sinh bày tỏ lời cảm ơn tới các đồng nghiệp, gia đình, bạn bè đã luôn động viên, chia sẻ, ủng hộ và giúp đỡ nghiên cứu sinh vượt qua khó khăn để đạt được những kết quả nghiên cứu trong luận án này. Nghiên cứu sinh
  5. III MỤC LỤC LỜI CAM ĐOAN .................................................................................................. I LỜI CẢM ƠN ....................................................................................................... II MỤC LỤC........................................................................................................... III DANH MỤC CÁC KÍ HIỆU ...............................................................................IX DANH MỤC CÁC BẢNG BIỂU ......................................................................... X DANH MỤC CÁC HÌNH VẼ ........................................................................... XII MỞ ĐẦU ........................................................................................................... XIV Tính cấp thiết của đề tài nghiên cứu ................................................................... XIV Mục đích nghiên cứu ........................................................................................... XV Nhiệm vụ nghiên cứu .......................................................................................... XV Đối tượng và phạm vi nghiên cứu ....................................................................... XVI Phương pháp nghiên cứu .................................................................................... XVI Ý nghĩa lý luận và thực tiễn của luận án ............................................................. XVI Bố cục của luận án............................................................................................. XVII CHƯƠNG 1. TỔNG QUAN VỀ GIẢI PHÁP BẢO MẬT DỮ LIỆU THỜI GIAN THỰC TRÊN MẠNG IP ............................................................................ 1 1.1. Giới thiệu.......................................................................................................... 1 1.2. Tổng quan về một số giao thức bảo mật dữ liệu thời gian thực.......................... 3 1.2.1. Giao thức bảo mật truyền thời gian thực SRTP .............................. 3 1.2.2. Giao thức bảo mật IPSec ................................................................ 3 1.3. Tổng quan về tình hình nghiên cứu thuật toán mật mã khối .............................. 5 1.3.1. Tổng quan tình hình nghiên cứu ngoài nước .................................. 5 1.3.2. Tổng quan tình hình nghiên cứu trong nước ................................... 8 1.4. Hướng nghiên cứu của luận án.......................................................................... 9 1.5. Một số cơ sở lý thuyết trong phát triển thuật toán mật mã khối ......................... 9 1.5.1. Hàm logic (hàm boole) ................................................................... 9 1.5.2. Mật mã khối ................................................................................. 10 1.6. Nguyên lý thiết kế mạng chuyển vị thay thế điều khiển được (CSPN) ......... 15 1.6.1. Lớp phần tử nguyên thủy mật mã điều khiển được P2/1 ................. 15
  6. IV 1.6.2. Lớp phần tử nguyên thủy mật mã điều khiển được F2/1 ................. 19 1.6.3. Lớp phần tử nguyên thủy mật mã điều khiển được F2/2 ................. 21 1.6.4. Kiến trúc mạng chuyển vị-thay thế điều khiển được Fn/m .............. 23 1.7. Kết luận chương ............................................................................................. 25 CHƯƠNG 2. CẢI TIẾN THUẬT TOÁN MẬT MÃ KHỐI SPECTR-128 DÙNG CHO BẢO MẬT DỮ LIỆU THỜI GIAN THỰC.................................. 27 2.1. Mô tả thuật toán mật mã khối SPECTR-128 ................................................... 27 2.1.1. Đặc điểm thiết kế .......................................................................... 27 2.1.2. Mô tả chung thuật toán................................................................. 28 2.1.3. Lược đồ khóa................................................................................ 30 2.1.4. Biến đổi khởi đầu và kết thúc........................................................ 31 2.1.5. Vòng mã hóa Crypt....................................................................... 32 2.1.6. Hàm phi tuyến G .......................................................................... 33 2.1.7. CP P64/192 và P 164/192 .................................................................... 35 2.1.8. Khối mở rộng E ............................................................................ 37 2.2. Phân tích độ an toàn của thuật toán SPECTR-128 ........................................... 38 2.2.1. Đánh giá độ an toàn đối với thám mã lượng sai ........................... 38 2.2.2. Đánh giá độ an toàn đối với thám mã tuyến tính .......................... 46 2.3. Cải tiến thuật toán mật mã khối SPECTR-128 ................................................ 50 2.4. Đánh giá hiệu quả của thuật toán cải tiến trên FPGA ...................................... 53 2.5. Kết luận chương ............................................................................................. 54 CHƯƠNG 3. XÂY DỰNG MỘT SỐ THUẬT TOÁN MẬT MÃ KHỐI DỰA TRÊN CÁC LỚP NGUYÊN THỦY MẬT MÃ F2/1 VÀ F2/2 .............................. 55 3.1. Phát triển họ thuật toán mật mã khối tốc độ cao .............................................. 55 3.1.1. Họ thuật toán dựa trên CE F2/1 ..................................................... 56 3.1.2. Họ thuật toán dựa trên CE F2/2 ..................................................... 68 3.2. Phân tích độ an toàn của các thuật toán đề xuất............................................... 70 3.2.1. Phân tích độ an toàn của thuật toán dựa trên F2/1 ........................ 70 3.2.2. Phân tích độ an toàn của thuật toán dựa trên F2/2 ........................ 75
  7. V 3.3. Phân tích hiệu quả thực hiện của các thuật toán đề xuất trên FPGA ................ 78 3.3.1. Hiệu quả của thuật toán dựa trên F2/1........................................... 79 3.3.2. Hiệu quả của thuật toán dựa trên F2/2........................................... 79 3.4. Kết luận chương ............................................................................................. 81 CHƯƠNG 4. PHÁT TRIỂN NGUYÊN THỦY MẬT MÃ F2/4VÀ XÂY DỰNG MỘT SỐ THUẬT TOÁN MẬT MÃ KHỐI ....................................................... 82 4.1. Phát triển lớp nguyên thủy mật mã F2/4 ........................................................... 82 4.1.1. Xây dựng các CE F2/4 ................................................................... 82 4.1.2. Phân tích các đặc tính mật mã của các CE F2/4 ............................ 86 4.1.3. Xây dựng kiến trúc CSPN dựa trên CE F2/4 .................................. 89 4.2. Phát triển một số thuật toán mật mã khối tốc độ cao ....................................... 95 4.2.1. Tiêu chí thiết kế ............................................................................ 95 4.2.2. Mô tả thuật toán ........................................................................... 95 4.2.3. Thiết kế lược đồ khóa ................................................................. 100 4.3. Phân tích độ an toàn của các thuật toán đề xuất............................................. 101 4.3.1. Đánh giá các tiêu chuẩn thống kê............................................... 101 4.3.2. Đánh giá độ an toàn đối với thám mã lượng sai ......................... 102 4.4. Phân tích hiệu quả thực hiện của các thuật toán đề xuất trên FPGA .............. 105 4.5. Kết luận chương ........................................................................................... 106 KẾT LUẬN ........................................................................................................ 107 DANH MỤC CÁC CÔNG TRÌNH ĐÃ CÔNG BỐ .............................................. i DANH MỤC TÀI LIỆU THAM KHẢO .............................................................. ii PHỤ LỤC .............................................................................................................. ix A. Đánh giá đặc trưng thống kê theo tiêu chuẩn NESSIE ....................................... ix B. Mô hình tích hợp mật mã khối trên FPGA ........................................................ xii
  8. VI DANH MỤC CÁC CHỮ VIẾT TẮT Chữ tắt Nghĩa tiếng Anh Nghĩa tiếng Việt AES Advanced Encryption Standard Chuẩn mã hóa tiên tiến Application Specific Integrated Vi mạch tích hợp chuyên ASIC Circuit dụng CBC Cipher Block Chaining Chế độ mã xích khối CO Controlled Operator Toán tử điều khiển được Controlled Operational COS Thay thế điều khiển được Substitution CE Controlled Element Phần tử điều khiển được CFB Cipher FeedBack Chế độ mã phản hồi CLB Configurable Logic Block Khối logic lập trình được CP Controlled Permutation Hoán vị điều khiển được data-dependent Controlled Toán tử hai vị trí điều khiển CTPO Two-Place Operation được phụ thuộc dữ liệu CTR Counter mode Chế độ mã đếm Controlled Substitution Mạng chuyển vị thay thế điều CSPN Permutation Network khiển được DA Differential Attack Tấn công vi sai DC Differential Characteristic Đặc trưng vi sai DCA Differential CryptAnalysis Thám mã lượng sai DDO Data Dependent Operation Toán tử phụ thuộc dữ liệu DDP Data Dependent Permutation Hoán vị phụ thuộc dữ liệu DDR Data Dependent Rotation Phép quay phụ thuộc dữ liệu DES Data Encryption Standard Tiêu chuẩn mã hóa dữ liệu ECB Electronic Code Book Chế độ mã sách điện tử Field Programmable Gate Mảng cổng lập trình được FPGA Array dạng trường FT Final Transformation Biến đổi cuối Hash-based Message Mã xác thực bản tin dựa trên HMAC- Authentication Code- Secure hàm băm - Thuật toán băm an SHA1 Hash Algorithm 1 toàn 1 IETF Internet Engineering Task Nhóm đặc trách kỹ thuật
  9. VII Force Internet IKS Internal Key Scheduling Lược đồ sinh khóa nội vi IL Iterative Looping Chế độ lặp cơ sở IT Initial Transformation Biến đổi đầu Khối thay thế điều khiển KTTĐKĐ được LA Linear Attack Tấn công tuyến tính LC Linear Characteristics Đặc trưng tuyến tính LCA Linear CryptAnalysis Thám mã tuyến tính National Institute of Standards Viện Tiêu chuẩn và Công NIST and Technology nghệ quốc gia Hoa kỳ NewEuropean Schemes for Chuẩn Châu Âu cho Chữ ký NESSIE Signatures, Integrity and số, Tính toàn vẹn và Mã hóa Encryption NL Non Linearity Phi tuyến OFB Output FeedBack Chế độ mã phản hồi đầu ra PE Primitive Element Phần tử nguyên thủy mật mã PP Pipeline Chế độ đường ống toàn phần QoS Quality of Service Chất lượng dịch vụ Giao thức truyền dữ liệu thời RTP Realtime Transport Protocol gian thực Giao thức điều khiển giao RTCP RTP Control Protocol thức truyền thời gian thực SAC Strict Avalanche Criteria Tiêu chuẩn thác lũ chặt Switchable Data Dependent Toán tử phụ thuộc dữ liệu SDDO Operation chuyển mạch Switchable Data Driven Hoán vị phụ thuộc dữ liệu SDDP Permutation chuyển mạch Substitution Permutation SPN Mạng hoán vị thay thế Network Secure Real-time Transport Giao thức bảo mật truyền SRTP Protocol thời gian thực Giao thức điều khiển truyền TCP Transmission Control Protocol vận
  10. VIII TLS Transport Layer Security Bảo mật lớp vận chuyển TMN Thuật toán phát triển Giao thức gói dữ liệu người UDP User Datagram Protocol dùng VoIP Voice over Internet Protocol Thoại trên giao thức internet XSL eXtended Sparse Linearization Tuyến tính thưa thớt mở rộng
  11. IX DANH MỤC CÁC KÍ HIỆU 1. {0, 1} là tập các véc tơ nhị phân chiều =( , …, ), ∀ = 1. . , ∈ {0, 1}. 2. ( )=∑ là khoảng cách Hamming của véc tơ nhị phân U. 3. Fn/m: phần tử điều khiển được với n bit dữ liệu vào và m bit điều khiển. 4. / : phần tử nghịch đảo của phần tử Fn/m. ( ) 5. / : toán tử điều khiển chuyển mạch (e là bit chuyển mạch) của Pn/m. ( , ) 6. / : toán tử SDDO với e là bit điều khiển chuyển mạch, L là dữ liệu vào của SDDO, Fn/m là phần tử điều khiển được. 7. L
  12. X DANH MỤC CÁC BẢNG BIỂU Bảng 2.1. Mô tả các khóa con cho các vòng mã hóa........................................... 31 Bảng 2.2. Phân bố của các bit của véctơ U.......................................................... 38  P64 192  P  (  qR   gR )   qL  Bảng 2.3. Các giá trị của xác suất   39 .......................... Bảng 2.4. Thay đổi các bit đầu ra gây ra bởi sự thay đổi 1 bit đơn (li=1) tại đầu vào của toán tử ........................................................................................... 41 P ( 1Li  G   Yg ) Bảng 2.5. Các giá trị của xác suất .......................................... 42 P ( 1Li  G   Y2i i ) Bảng 2.6. Các giá trị của xác suất ........................................ 42 Bảng 2.7. Phân bố các bit của véctơ U ............................................................... 50 Bảng 2.8. So sánh hiệu quả tích hợp của các thuật toán trên FPGA................. 53 Bảng 3.1. Lược đồ sử dụng khóa trong TMN64 (Với R = 10)............................ 68 Bảng 3.2. Lược đồ sử dụng khóa trong TMN128 (Với R = 14).......................... 68 Bảng 3.3. Các kết quả đánh giá ảnh hưởng của bit bản rõ lên bản mã (thuật toán TMN64)....................................................................................................... 71 Bảng 3.4. Các kết quả đánh giá ảnh hưởng của bit bản rõ lên bản mã (thuật toán TMN128).................................................................................................... 71 Bảng 3.5. Đặc trưng vi sai của CE F2/1 sử dụng trong các thuật toán đề xuất... 72 Bảng 3.6. Các kết quả đánh giá ảnh hưởng của bit bản rõ lên bản mã (thuật toán TMN64)...................................................................................................... 75 Bảng 3.7. Các kết quả đánh giá ảnh hưởng của bit bản rõ lên bản mã (thuật toán TMN128)..................................................................................................... 75 Bảng 3.8. Đặc trưng vi sai của CE F2/2 sử dụng trong các thuật toán đề xuất. 76 Bảng 3.9. Đặc trưng vi sai của các họ thuật toán phát triển dựa trên CE F2/1 và CE F2/2.................................................................................................................. 78 Bảng 3.10. Mô tả hiệu quả tích hợp của các họ thuật toán (2/1)TMN trên FPGA trong chế độ vòng lặp cơ sở và đường ống toàn phần............................. 79 Bảng 3.11. Mô tả hiệu quả tích hợp của các họ thuật toán (2/2)TMN trên FPGA trong chế độ vòng lặp cơ sở và đường ống toàn phần............................. 79
  13. XI Bảng 3.12. Mô tả hiệu quả tích hợp của các họ thuật toán chung khảo AES trên FPGA trong chế độ vòng lặp cơ sở (sử dụng bộ mã nguồn VHDL của NSA).................................................................................................................... 80 .... 3.13. Mô tả hiệu quả tích hợp của các họ thuật toán chung khảo AES Bảng trên FPGA trong chế độ đường ống (sử dụng bộ mã nguồn VHDL của NSA)... 80 Bảng 4.1. Giá trị phi tuyến của tập các BF......................................................... 86 Bảng 4.2. Các đặc trưng vi sai của CE F2/4......................................................... 87 Bảng 4.3. Các chuyển dịch đối với các CE F2/4 cho phương án 4 ở bảng 4.1 88 Bảng 4.4. Tất cả các khả năng của các dạng CSPN với kích thước dữ liệu vào từ 2 đến 128 bit................................................................................................... 93 Bảng 4.5. Các kết quả đánh giá ảnh hưởng của bit bản rõ lên bản mã (thuật toán TMN64)...................................................................................................... 101 Bảng 4.6. Các kết quả đánh giá ảnh hưởng của bit bản rõ lên bản mã (thuật toán TMN128).................................................................................................... 101 Bảng 4.7. Đặc trưng vi sai của các họ thuật toán phát triển dựa trên CE F2/1, CE F2/2 và CE F2/4............................................................................................... 104 Bảng 4.8. Mô tả hiệu quả tích hợp của các họ thuật toán TMN64 trên FPGA trong chế độ vòng lặp cơ sở............................................................................... 105 Bảng 4.9. Mô tả hiệu quả tích hợp của các họ thuật toán TMN128 trên FPGA trong chế độ vòng lặp cơ sở................................................................................ 105 Bảng 4.10. Mô tả hiệu quả tích hợp của các họ thuật toán TMN64 trên FPGA trong chế độ đường ống toàn phần...................................................................... 105 Bảng 4.11. Mô tả hiệu quả tích hợp của các họ thuật toán TMN128 trên FPGA 106
  14. XII DANH MỤC CÁC HÌNH VẼ Hình 1.1. Kiến trúc giao thức IPSec..................................................................... 4 Hình 1.2. Cấu trúc mạng Feistel......................................................................... 11 Hình 1.3. Cấu trúc mạng SPN.............................................................................. 12 Hình 1.4. Cấu trúc của Pn/m................................................................................... 16 Hình 1.5. Cấu trúc của CP thuận (a) và CP nghịch đảo (b)................................. 18 Hình 1.6. Cấu trúc biểu diễn của CE F2/1............................................................. 20 Hình 1.7. Cấu trúc biểu diễn của CE F2/2............................................................. 22 Hình 1.8. Cấu trúc tổng quát của CSPN Fn/m(a) và F-1n/m (b) xây dựng từ F2/1..... 25 Hình 2.1. Cấu trúc chung của thuật toán SPECTR-128....................................... 29 Hình 2.2. Các khóa con của thuật toán................................................................. 30 Hình 2.3. Biến đổi khởi đầu (a) và biến đổi cuối (b) .......................................... 32 Hình 2.4. Cấu trúc của thủ tục Crypt.................................................................... 33 Hình 2.5. Cấu trúc của khối P64/192 (a) và P-164/192 (b).................................. 36 Hình 2.6. Đặc trưng vi sai của CE P2/1................................................................. 39 Hình 2.7. Một số đặc trưng vi sai của CP............................................................. 41 Hình 2.8. Hình thành đặc trưng vi sai hai vòng với trường hợp 1....................... 44 Hình 2.9. Hình thành đặc trưng tuyến tính của một vòng.................................... 49 Hình 2.10. Hình thành đặc trưng vi sai của ba vòng............................................ 52 Hình 3.1. Sơ đồ tổng quát của hai thuật toán (a); sơ đồ một vòng mã hóa cơ sở của TMN64 (b); sơ đồ một vòng mã hóa cơ sở của TMN128 (c)........................ 58 Hình 3.2. Các CSPN F32/96(a) và F−132/96 (b)......................................................... 59 Hình 3.3. Các CSPN F8/12(a) và F−18/12 (b)........................................................... 59 Hình 3.4. Các CSPN Si(a); khối Sd(b); khối S-1d(c).............................................. 60 Hình 3.5. CE P2/1(a); khối P16/8(b); khối P32/16(c)................................................. 60 Hình 3.6. Các CSPN F64/192(a) và F−164/192 (b) ..................................................... 61 Hình 3.7. CSPN Si(a); khối Sd(b); khối S-1d(c)..................................................... 62 Hình 3.8. Sơ đồ chung của các thuật toán đề xuất khi sử dụng lược đồ khóa vòng “cùng bay”…………………………………………………………. 64 Hình 3.9. Thủ tục Q_exp để mở rộng khóa mật hình thành khóa vòng “cùng bay”...................................................................................................................... 67 Hình 3.10. Sơ đồ tổng quát của hai thuật toán (a); sơ đồ một vòng mã hóa cơ sở của TMN64 (b); sơ đồ một vòng mã hóa cơ sở của TMN128 (c)................... 69 Hình 3.11. Các CSPN F32/192(a) và F−132/192 (b).................................................... 69
  15. XIII Hình 3.12. Các CSPN F64/384 (a) và F−164/384 (b).................................................. 70 Hình 3.13. Các CSPN F8/24(a) và F−18/24 (b)......................................................... 70 Hình 3.14. Hình thành đặc trưng vi sai hai vòng ((A1, B0)  (A1, B0)) với xác suất P2  2-31 (thuật toán TMN64)................................................................. 72 Hình 3.15. Hình thành đặc trưng vi sai hai vòng ((A1, B0)  (A1, B0)) với xác suất P2  2-32(thuật toán TMN128)................................................................ 74 Hình 3.16. Hình thành đặc trưng vi sai hai vòng ((A1, B0)  (A1, B0)) với xác suất P2  2-36 (thuật toán TMN64)................................................................. 76 Hình 3.17. Hình thành đặc trưng vi sai hai vòng ((A1, B0)  (A1, B0)) với xác suất P2  2-37 (thuật toán TMN128)............................................................... 77 Hình 4.1. Phần tử điều khiển được F2/4 (a) và biểu diễn nó trong dạng cặp các hàm Boolean (b)................................................................................................... 83 Hình 4.2. 10 phương án phù hợp tiêu chuẩn lựa chọn thứ ba, chúng thực hiện các biến đổi ( x1, x2 )  ( y1 , y2 ) là xoắn.............................................................. 85 Hình 4.3. Các đặc trưng vi sai của CE F2/4........................................................... 87 Hình 4.4. CSPN sử dụng CE F2/4.......................................................................... 90 Hình 4.5. Xây dựng CSPN với cấu trúc lặp loại thứ nhất (a) và cấu trúc lặp loại thứ hai (b)...................................................................................................... 91 Hình 4.6. Xây dựng CSPN với cấu trúc lặp loại thứ ba....................................... 92 Hình 4.7. Sơ đồ tổng quát của 2 thuật toán (a); sơ đồ một vòng mã hóa cơ sở của thuật toán TMN64 (b); sơ đồ một vòng mã hóa cơ sở của thuật toán TMN128 (c).......................................................................................................... 96 Hình 4.8. CSPN F32/384 (a) và F−132/384 (b)............................................................. 97 Hình 4.9. CSPN F8/48 (a) và F−18/48 (b) ................................................................ 97 Hình 4.10. CSPN Si (a); khối Sd (b); khối S-1d (c.................................................. 98 Hình 4.11. CE P2/1(a); khối P16/8(b);khối P32/16(c) 98 −1 Hình 4.12. CSPN F64/768 (a) và F 64/768 (b)........................................................... 99 Hình 4.13. Mạng chuyển vị-thay thế điều khiển được Si (a); khối toán tử điều khiển được Sd (b); khối toán tử điều khiển được S-1d (c)................................... 100 Hình 4.14. Hình thành đặc trưng vi sai hai vòng ((A1, B0)  (A1, B0)) với xác suất P2  2-64 (thuật toán TMN64)…………………………………………. 103 Hình 4.15. Hình thành đặc trưng vi sai hai vòng ((A1, B0)  (A1, B0)) với xác suất P2  2-65 (thuật toán TMN128)............................................................... 104
  16. XIV MỞ ĐẦU Tính cấp thiết của đề tài nghiên cứu Ngày nay với sự phát triển nhanh của công nghệ thông tin và mạng Internet, bảo mật dữ liệu thời gian thực truyền trên mạng IP đóng vai trò quan trọng trong việc bảo vệ an toàn dữ liệu của các cá nhân và các tổ chức. Xã hội hiện nay ngày càng phát triển, nhu cầu sử dụng các dịch vụ Internet càng lớn và không ngừng được nâng cao để có thể đáp ứng được xu thế thời đại. Các dịch vụ thời gian thực trên mạng Internet cũng ngày càng phát triển và thu hút được lượng lớn người sử dụng ví dụ như các hệ thống truyền thông đa phương tiện, hệ thống dự phòng thảm họa, và hệ thống phân tích dữ liệu khoa học... Đối mặt với sự phát triển này, việc xâm phạm dưới các hình thức khác nhau có thể ảnh hưởng nặng nề tới các cá nhân và tổ chức xã hội. Do vậy, nhu cầu an toàn an ninh cho dữ liệu thời gian thực truyền trên mạng IP trở nên cấp thiết và đòi hỏi ở các mức độ cao hơn. Tuy nhiên trên thực tế lại hình thành một mâu thuẫn giữa nhu cầu phát triển các ứng dụng dịch vụ trên mạng IP với các nguy cơ an toàn về thông tin. Điều này dễ dàng có thể hiểu được vì mạng IP là một mạng mở. Giải pháp hiệu quả nhất nhằm bảo đảm sự an toàn thông tin trong các mạng này là sử dụng mật mã [3, 24]. Các giải pháp mật mã trong các mạng này luôn phải đảm bảo cả 3 yêu cầu đó là: bảo mật dữ liệu, kiểm tra toàn vẹn dữ liệu và xác thực thông tin. Song mật mã vẫn luôn là một vấn đề thời sự bởi tính ứng dụng của nó. Hiện tại, các giải pháp bảo mật của công nghệ IP vẫn sử dụng các thuật toán mật mã thông dụng dùng cho cả các ứng dụng phần mềm và phần cứng mà chưa có các thuật toán mật mã phù hợp với các ứng dụng phần cứng chuyên dụng. Đó là nguyên nhân tại sao các giải pháp mật mã khi tích hợp thường chưa tối ưu cho các ứng dụng dữ liệu thời gian thực. Với cách tiếp cận như trên, việc nghiên cứu các thuật toán mật mã theo xu hướng mới (có tốc độ và có hiệu quả tích hợp cao, phù hợp triển khai trên phần cứng đảm bảo độ an toàn,...) nhằm đáp ứng yêu cầu ngày càng cao của các ứng dụng là tất yếu. Hơn nữa, trong điều kiện mà nhiều thuật toán mã hóa truyền thống đã bị chứng minh là yếu hoặc có lỗ hổng hoặc không phù hợp trong các ứng dụng
  17. XV dữ liệu thời gian thực như hiện nay thì xu hướng mới lại càng cần thiết hơn. Do đó hướng nghiên cứu của luận án hoàn toàn phù hợp với yêu cầu thực tiễn của cuộc sống cũng như khoa học. Mục đích nghiên cứu Về lý thuyết, luận án nhằm nghiên cứu về các nội dung sau: - Nghiên cứu các thuật toán mật mã khối, một số giao thức bảo mật dữ liệu thời gian thực, cơ sở lý thuyết về thiết kế thuật toán mật mã khối. Trên cơ sở đó đề xuất một số thuật toán mật mã khối phù hợp, an toàn và đảm bảo có hiệu quả tích hợp cho phần cứng chuyên dụng dạng VLSI (FPGA, ASIC). Đồng thời, đánh giá các thuật toán đề xuất về độ an toàn, hiệu quả tích hợp trên phần cứng FPGA theo một số tiêu chuẩn đánh giá trên thế giới, từ đó làm cơ sở cho việc thiết kế, chế tạo các thiết bị bảo mật dữ liệu thời gian thực chuyên dụng. Về thực hành, luận án nhằm thực hiện các nội dung: - Xây dựng chương trình mô phỏng thông qua ngôn ngữ mô tả phần cứng (VHDL) để từ đó đánh giá hiệu quả tích hợp của các thuật toán mật mã khối phát triển trên phần cứng FPGA. - Xây dựng chương trình mô phỏng đánh giá các thuật toán đề xuất theo một số tiêu chuẩn trên thế giới. Nhiệm vụ nghiên cứu - Nghiên cứu lý thuyết về nguyên lý thiết kế thuật toán mật mã khối và nguyên lý thiết kế cho các ứng dụng mật mã. - Cải tiến về độ an toàn một thuật toán mật mã khối phù hợp cho thiết kế thiết bị bảo mật truyền dữ liệu thời gian thực chuyên dụng. - Phát triển một số thuật toán mật mã khối mới đảm bảo độ an toàn và có hiệu quả tích hợp trên FPGA cao để ứng dụng cho thiết kế thiết bị bảo mật dữ liệu thời gian thực chuyên dụng. - Đề xuất lớp phần tử nguyên thủy mật mã mới hiệu quả hơn, đồng thời phát triển họ thuật toán mật mã khối dựa trên lớp phần tử này.
  18. XVI - Đánh giá độ an toàn của các thuật toán mật mã khối. Đối tượng và phạm vi nghiên cứu - Đối tượng: các thuật toán mật mã khối. - Phạm vi nghiên cứu: các thuật toán mật mã khối dựa trên mạng chuyển vị thay thế điều khiển đươc (CSPN) có tốc độ nhanh, hiệu quả tích hợp cao trên nền tảng phần cứng FPGA. Phương pháp nghiên cứu Nghiên cứu lý thuyết kết hợp với mô phỏng và đánh giá thực nghiệm trên cơ sở một số tiêu chuẩn đánh giá trên thế giới. Cụ thể sử dụng kết hợp các nhóm phương pháp nghiên cứu: phân tích, so sánh, tổng hợp, đánh giá và mô phỏng qua phần mềm thực nghiệm. Ý nghĩa lý luận và thực tiễn của luận án Về lý luận, luận án đề xuất giải pháp để đảm bảo an ninh bằng mật mã cho cho dữ liệu thời gian thực trên mạng IP sử dụng phần cứng chuyên dụng (FPGA).Về thực tiễn, kết quả nghiên cứu của luận án sẽ góp phần tạo ra nhiều cơ hội lựa chọn nhằm đảm bảo an toàn thông tin cho các tổ chức và cá nhân có nhu cầu. Đây là nghiên cứu phù hợp cho các ứng dụng truyền dữ liệu thời gian thực trên mạng IP nhưng vẫn phải đảm bảo tốc độ mã và giải mã cao, cũng như đảm bảo chất lượng cho dịch vụ thời gian thực có bảo mật. Từ đó góp phần tạo ra sản phẩm ứng dụng thực tiễn, hiệu quả và độ tin cậy cho các dịch vụ dữ liệu thời gian thực. Tiến tới đưa thành tựu khoa học, các kỹ thuật mới trong mật mã để cải thiện vấn đề an ninh trên mạng ở Việt Nam. Ngoài ra, kết quả nghiên cứu của đề tài cũng góp phần làm nền tảng cho việc đưa các kết quả này vào việc phát triển nội dung chương trình đào tạo, cụ thể tăng cường việc đề xuất đưa những nội dung mới, tiên tiến trên thế giới về mật mã vào chương trình đào tạo ngành an ninh mạng và công nghệ thông tin của các trường đại học nhằm nâng cao chất lượng giáo dục.
  19. XVII Bố cục của luận án Ngoài phần mở đầu giới thiệu về tính cấp thiết của luận án, mục đích, nhiệm vụ, phương pháp, đối tượng, phạm vi, ý nghĩa khoa học và thực tiễn, các đóng góp mới, luận án được chia thành 4 chương với bố cục như sau: Chương 1: Tổng quan về giải pháp bảo mật dữ liệu thời gian thực trên mạng IP Chương này tập trung vào trình bày tóm tắt tình hình nghiên cứu về dữ liệu thời gian thực, một số giao thức bảo mật dữ liệu thời gian thực, các thuật toán mật mã khối. Phân tích hướng tiếp cận của luận án về thuật toán mật mã khối và phương pháp thực hiện thuật toán mật mã khối nhằm đảm bảo chất lượng dịch vụ dữ liệu thời gian thực khi có tích hợp giải pháp bảo mật. Đồng thời, trong chương này cũng trình bày một số cơ sở lý thuyết trong thiết kế thuật toán mật mã khối. Chương 2: Cải tiến thuật toán mật mã khối Spectr-128 dùng cho bảo mật dữ liệu thời gian thực Dựa trên các kết quả đánh giá độ an toàn bằng các phân tích thám mã vi sai và tuyến tính trên thuật toán mật mã khối SPECTR-128, trong chương sẽ tập trung vào trình bày kết quả cải tiến thuật toán này về độ an toàn. Các phương án cải tiến của thuật toán, sẽ được chứng minh về độ an toàn và hiệu quả thực hiện trên FPGA nhằm sử dụng thuật toán này trong thiết kế thiết bị bảo mật dữ liệu thời gian thực. Chương 3: Xây dựng một số thuật toán mã khối dựa trên các lớp nguyên thủy mật mã F2/1 và F2/2 Chương này sẽ trình bày một số kết quả nghiên cứu mới của luận án về kết quả xây dựng một họ thuật toán mật mã khối mới dựa trên các lớp nguyên thủy mật mã F2/1 và F2/2. Đây là các lớp phần tử điều khiển được (CE) đã được nghiên cứu và chứng minh là rất phù hợp để phát triển các thuật toán mật mã khối có tốc độ và hiệu quả tích hợp cao trên các nền tảng phần cứng kiểu VLSI (FPGA và ASIC). Vì vậy các thuật toán này sẽ đảm bảo hoạt động hiệu quả và phù hợp cho thiết kế thiết bị bảo mật dữ liệu thời gian thực.
  20. XVIII Chương 4: Phát triển nguyên thủy mật mã F2/4 và xây dựng một số thuật toán mật mã khối Chương này sẽ trình bày một số kết quả nghiên cứu mới của luận án về kết quả phát triển lớp nguyên thủy mật mã F2/4 và xây dựng một số thuật toán mật mã khối dựa trên lớp nguyên thủy mật mã này.
ADSENSE

CÓ THỂ BẠN MUỐN DOWNLOAD

 

Đồng bộ tài khoản
2=>2