intTypePromotion=1
zunia.vn Tuyển sinh 2024 dành cho Gen-Z zunia.vn zunia.vn
ADSENSE

Đề thi học kỳ 1 môn: Kỹ thuật số có đáp án (Năm 2012-2013) - Nguyễn Trọng Luật

Chia sẻ: Le Hải Hậu | Ngày: | Loại File: PDF | Số trang:5

1.012
lượt xem
112
download
 
  Download Vui lòng tải xuống để xem tài liệu đầy đủ

Nhằm giúp các bạn đang học môn Kỹ thuật số có thêm tài liệu tham khảo, mời các bạn cùng tham khảo nội dung đề thi học kỳ 1 môn "Kỹ thuật số" năm 2012-2013 dưới đây. Nội dung đề thi gồm 7 câu hỏi bài tập có hướng dẫn lời giải. Hy vọng tài liệu sẽ giúp các bạn tự tin hơn trong kỳ thi sắp đến.

Chủ đề:
Lưu

Nội dung Text: Đề thi học kỳ 1 môn: Kỹ thuật số có đáp án (Năm 2012-2013) - Nguyễn Trọng Luật

  1. ạ ư ậ
  2. library ieee; use ieee.std_logic_1164.all; entity CAU5 is port ( CLK : IN std_logic; Q : BUFFER std_logic_vector(2 downto 0)); end CAU5; architecture THI of CAU5 is component T_FF port ( T, CK, Pr, Cl: IN std_logic; Q: OUT std_logic); end component; signal Z, ONE, ZERO: std_logic; begin ONE
  3. entity CAU6 is signal C1,C2,C3,C4: std_logic; port ( A, B: IN std_logic; begin F: OUT std_logic); u1: NAND2 port map (A, A, C1); end CAU6; u2: NAND2 port map (C1, B, C2); architecture THI of CAU6 is u3: NAND2 port map (B, B, C3); component NAND2 u4: NAND2 port map (C3, A, C4); port (x, y: IN std_logic; u5: NAND2 port map (C2, c4, F); z: OUT std_logic); end THI; end component;
ADSENSE

CÓ THỂ BẠN MUỐN DOWNLOAD

 

Đồng bộ tài khoản
2=>2