intTypePromotion=1
zunia.vn Tuyển sinh 2024 dành cho Gen-Z zunia.vn zunia.vn
ADSENSE

Thiết kế hệ thống xử lý ảnh video trên FPGA (CycloneII), chương 21

Chia sẻ: Tran Van Duong | Ngày: | Loại File: PDF | Số trang:9

98
lượt xem
21
download
 
  Download Vui lòng tải xuống để xem tài liệu đầy đủ

Để gán chân đúng ta phải biết một số chân cần thiết (ngoài các chân mở rộng ở các expansion) đã được nối sẵn với chip Ta sẽ gán chân như sau: + Red_out với chân 236 + Green_out với chân 237 + Blue_out với chân 238 + Vert_sync_out với chân 239 + Horiz_sync_out với chân 240 + Red gán với switch1 ở chân 41 + Green với switch1 ở chân 40 + Blue với switch1 ở chân 39 Sau đó lưu và biên dịch lại. Rồi nạp file .sof lên board UP2....

Chủ đề:
Lưu

Nội dung Text: Thiết kế hệ thống xử lý ảnh video trên FPGA (CycloneII), chương 21

  1. Chương 21:Chöông trình hieån thò maøu theo tín hieäu red, green, blue LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.STD_LOGIC_ARITH.all; USE IEEE.STD_LOGIC_UNSIGNED.all; ENTITY VGA_SYNC IS PORT(clock_25Mhz, red, green, blue : IN STD_LOGIC; red_out,green_out,blue_out,horiz_sync_out, vert_sync_out :OUT STD_LOGIC; pixel_row, pixel_column : OUT STD_LOGIC_VECTOR(9 DOWNTO 0)); END VGA_SYNC; ARCHITECTURE a OF VGA_SYNC IS SIGNAL horiz_sync, vert_sync : STD_LOGIC; SIGNAL video_on, video_on_v, video_on_h : STD_LOGIC; SIGNAL h_count, v_count :STD_LOGIC_VECTOR(9 DOWNTO 0); BEGIN -- Video_on ñöôïc baät khi tín hieäu RGB ñöôïc hieån thò. video_on
  2. WAIT UNTIL(clock_25Mhz'EVENT AND clock_25Mhz='1'); -Phaùt xung ñoàng boä ngang vaø ñoàng boä doïc cho Video Signal -- H_count ñeå ñeám ñieåm aûnh(ngoaøi 640 ñieåm aûnh+ thôøi gian cho xung ñoàng boä) -- Soá ñieåm aûnh töông öùng vôùi thôøi gian phaùt xung ñoàng boä ngang -- ------------------------------------__________-------- -- H_count 0 640 659 755 799 IF (h_count = 799) THEN h_count
  3. -- Soá ñieåm aûnh töông öùng vôùi thôøi gian phaùt xung ñoàng boä doïc -- -----------------------------------------------_______----- ------- -- V_count 0 480 493-494 524 IF (v_count >= 524) AND (h_count >= 699) THEN v_count
  4. END IF; IF (v_count
  5. Keát quaû: RED GREEN BLUE MAØU 0 0 0 ÑEN 0 0 1 XANH DÖÔNG 0 1 0 XANH LAÙ CAÂY 0 1 1 XANH DA TRÔØI 1 0 0 ÑOÛ 1 0 1 TÍM 1 1 0 VAØNG 1 1 1 TRAÉNG Baûng maøu treân monitor sau khi naïp chöông trình vôùi caùc tín hieäu RGB : 2. Chöông trình hieån thò kí töï leân monitor CHA ADDRE CHA ADDRE CHA ADDRE CHA ADDRE R SS R SS R SS R SS @ 00 P 20 Spac 40 0 60 e A 01 Q 21 ! 41 1 61 B 02 R 22 ‘’ 42 2 62
  6. C 03 S 23 # 43 3 63 D 04 T 24 $ 44 4 64 E 05 U 25 % 45 5 65 F 06 V 26 & 46 6 66 G 07 W 27 ‘ 47 7 67 H 10 X 30 { 50 8 70 I 11 Y 31 } 51 9 71 J 12 Z 32 * 52 A 72 K 13 [ 33 + 53 B 73 L 14 Dn 34 , 54 C 74 Arro w M 15 ] 35 - 55 D 75 N 16 Up 36 . 56 E 76 Arro w O 17 Lft 37 / 57 F 77 Arro w Baûng ñòa chæ kí töï trong ROM Ñeå hieån thò kí töï leân maøn hình ta phaûi taïo moät baûng kí töï trong ROM. Alterra coù hoã trôï baûng ROM treân kit, vôùi moät file khôûi taïo tcgrom.mif ñeå khôûi taïo ROM trong suoát quaù trình taûi. Moãi vò trí treân ROM chöùa ñòa chæ baét ñaàu cuûa cuûa font kí töï. Ví duï : ñeå xuaát chöõ A ra maøn hình : theo baøng ñòa chæ trong ROM thì ñòa chæ baét ñaàu laø 000001, söû duïng 8bit nhôù neân öùng vôùi ñòa chæ seõ coù font data : Address Font data 000001000 00011000
  7. 000001001 00111100 000001010 01100110 000001011 01111110 000001100 01100110 000001101 01100110 000001110 01100110 000001111 00000000 Vì ñòa chæ cuûa font kí töï ñöôïc taïo trong ROM laø byte 10bit. Maø ñòa chæ kí töï chöùa 6bit keát hôïp vôùi ñòa chæ font row laø 3bit taïo thaønh ñòa chæ töông öùng trong ROM vaø xuaát ra kí töï ôû ñòa chæ ñoù. *Moâ hình: Vì ñòa chæ cuûa font kí töï ñöôïc taïo trong ROM laø byte 10bit. Maø ñòa chæ kí töï chöùa 6bit keát hôïp vôùi ñòa chæ font row laø 3bit taïo thaønh ñòa chæ töông öùng trong ROM vaø xuaát ra ñòa chæ baét ñaàu cuûa kí töï ñoù. *Chöông trình: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY lpm; USE lpm.lpm_components.ALL; ENTITY Char_ROM IS
  8. PORT(character_address : IN STD_LOGIC_VECTOR(5 DOWNTO 0); font_row, font_col : IN STD_LOGIC_VECTOR(2 DOWNTO 0); rom_mux_output : OUT STD_LOGIC); END Char_ROM; ARCHITECTURE a OF Char_ROM IS SIGNAL rom_data: STD_LOGIC_VECTOR(7 DOWNTO 0); SIGNAL rom_address: STD_LOGIC_VECTOR(8 DOWNTO 0); BEGIN -- Phaùt matraän kí töï 8x8 trong Rom ra maøn hình video -- Moãi kí töï laø 8 8-bits words of pixel data char_gen_rom: lpm_rom GENERIC MAP ( lpm_widthad => 9, lpm_numwords => 512, lpm_outdata => "UNREGISTERED", lpm_address_control => "UNREGISTERED", -- Ñoïc trong file .mif cho caùc kí töï phaùt font data lpm_file => "tcgrom.mif", lpm_width => 8) PORT MAP ( address => rom_address, q => rom_data); rom_address
  9. -- Ña hôïp ñeå bit loãi rom döõ lieäu töø 8 bit word -- Phaùt kí töï cho maøn hình rom_mux_output
ADSENSE

CÓ THỂ BẠN MUỐN DOWNLOAD

 

Đồng bộ tài khoản
2=>2