intTypePromotion=1
zunia.vn Tuyển sinh 2024 dành cho Gen-Z zunia.vn zunia.vn
ADSENSE

Verilog

Xem 1-20 trên 137 kết quả Verilog
  • Bài viết Ứng dụng phần mềm Ise Xilinx để khảo sát bộ chuyển đổi dữ liệu song song sang nối tiếp trình bày các nội dung: Tổng quan về thanh ghi dịch; Ngôn ngữ mô tả phần cứng Verilog và công cụ lập trình ISE; Xây dựng sơ đồ trên nền tảng ISE Xilinx.

    pdf3p visergey 02-04-2024 6 1   Download

  • Giáo trình Ngôn ngữ mô tả phần cứng Verilog: Phần 1 cung cấp cho người học những kiến thức như: Dẫn nhập thiết kế hệ thống số với Verilog; Qui ước về từ khóa; Loại dữ liệu trong Verilog; Biểu thức. Mời các bạn cùng tham khảo!

    pdf115p baphap06 31-01-2023 23 8   Download

  • Tiếp nội dung phần 1 , Giáo trình Ngôn ngữ mô tả phần cứng Verilog: Phần 2 cung cấp cho người học những kiến thức như: Cấu trúc phân cấp và module; Mô hình thiết kế cấu trúc (Structural model); Mô hình thiết kế hành vi (Behavioral model); Tác vụ (task) và hàm (function). Mời các bạn cùng tham khảo!

    pdf188p baphap06 31-01-2023 16 7   Download

  • Bài viết Đánh giá bộ phân xử mức ưu tiên cố định và Round Robin trên phần cứng FPGA Spartan 3E tiến hành thiết kế bộ phân xử mức ưu tiên cố định và bộ phân xử Round Robin thực hiện hoạt động phân xử cho bốn Master và một Slave. Hai bộ phân xử sẽ được tổng hợp thiết kế bằng ngôn ngữ mô tả phần cứng Verilog trên phần mềm Xilinx ISE Design Suite 14.7. Thiết kế của hai bộ phân xử sau khi tổng hợp sẽ được kiểm tra và đánh giá bằng các testcase để so sánh về thuật toán và tốc độ phân xử.

    pdf15p viwmotors 02-12-2022 39 5   Download

  • Bài viết Thiết kế và thi công bộ mã hóa và giải mã CRC 16 dựa trên công nghệ FPGA trình bày chi tiết thiết kế và thi công bộ mã hóa và bộ giải mã mã CRC-16 dựa trên công nghệ FPGA bằng ngôn ngữ mô tả phần cứng Verilog.

    pdf10p vibentley 08-09-2022 20 5   Download

  • Nội dung giáo trình được bố cục bao gồm 7 bài với nội dung như sau: Giới thiệu chung về PLD, CPLD và FPGA; Họ CPLD; Họ FPGA; Qui trình thiết kế cho CPLD và FPGA của hãng Xilinx; Phần mềm ISE và modelsim; Ngôn ngữ Verilog HDL; Mốt số chương trình ứng dụng. Mời các bạn cùng tham khảo nội dung phần 1 giáo trình!

    pdf74p namkimcham25 19-07-2022 37 5   Download

  • Bài giảng Thiết kế mạch số dùng HDL - Chương 4: Thiết kế luận lý với Verilog có nội dung trình bày giới thiệu về HDLs và verilog; mô hình cấu trúc cho mạch luận lý tổ hợp; Mô phỏng luận lý, kiểm chứng thiết kế và phương pháp luận kiểm tra; thời gian trễ truyền lan;... Mời các bạn cùng tham khảo!

    pdf39p haoasakura 30-05-2022 41 4   Download

  • Trong nội dung bài báo này, trình bày quá trình thiết kế một module I2C giao tiếp qua chuẩn bus AMBA APB (Advanced Microcontroller Bus Architecture - Advanced Peripheral Bus). Cụ thể, module I2C được thiết kế bằng ngôn ngữ mô tả phần cứng Verilog, có thể cấu hình là Master hoặc Slave và hỗ trợ nhiều tốc độ truyền dữ liệu khác nhau.

    pdf9p paddington36 04-01-2022 54 4   Download

  • Bài viết trình bày giới thiệu, phân tích lựa chọn thuật toán lũy thừa modulo và phép nhân modulo Montgomery dựa trên một số công trình nghiên cứu trên thế giới. Phép tính lũy thừa modulo được thực thi bằng ngôn ngữ mô tả phần cứng HDL Verilog số modulo lựa chọn 2048 bit, chip FPGA XC7z045.

    pdf7p vivirginia2711 09-12-2020 47 9   Download

  • Bài viết trình bày một cấu trúc kiểm chứng thiết kế cho bộ cộng toàn phần 4-bit dựa trên phương pháp xác minh phổ quát (UVM) sử dụng ngôn ngữ System Verilog. Việc kết hợp những ưu điểm của UVM trong cấu trúc được đề xuất này cùng với System Verilog giúp xây dựng môi trường xác minh mà ở đó các biến ngõ vào được thiết lập ngẫu nhiên giúp giảm thời gian xây dựng testbench. Ngoài ra, việc tận dụng ngôn ngữ System Verilog để tạo ra các ma trận nhằm đánh giá độ bao phủ các trường hợp cần xác minh giúp ích rất nhiều trong việc gia tăng độ tin cậy trong thiết kế.

    pdf10p caygaocaolon8 07-11-2020 52 3   Download

  • Bài giảng "Điều khiển nhúng - Chương 2: Ngôn ngữ VERILOG" cung cấp cho người học các kiến thức: Giới thiệu, thiết kế phân cấp, VERILOG HDL, phép gán, toán tử, phát biểu có điều kiện,... Mời các bạn cùng tham khảo nội dung chi tiết.

    pdf43p abcxyz123_08 12-04-2020 35 6   Download

  • Giải pháp sử dụng thuật toán bảo mật Kasumi trên công nghệ FPGA (FIELD-Pogrammable Gate Array) là phương pháp bảo mật thông tin được thiết kế trên phần cứng, nhằm đảm bảo thông tin tốt hơn giải pháp bảo mật dựa trên phần mềm. Giải pháp thực hiện là nghiên cứu thuật toán Kasumi thiết kế chương trình bảo mật thông tin di động trên cơ sở ứng dụng công nghệ FPGA. Việc thực nghiệm được tiến hành dùng ngôn ngữ lập trình phần cứng Verilog để viết chương trình thực hiện mã hóa Kasumi trong bảo mật thông tin di động. Sau đó dùng phần mềm ModeISIM để thực hiện mô phỏng tuật toán bảo mật Kasumi.

    pdf5p uocvongxua10 18-09-2015 119 12   Download

  • In this tutorial, you will learn how to setup a ModelSim project, compile your Verilog files, correct compilation errors, and perform design debugging using ModelSim. The example design used within this tutorial is simple Synchronous Serial Port (SSP) that contains both a send and receive module.

    pdf33p thuanbk2010 14-08-2015 49 6   Download

  • Giáo trình Vi mạch số lập trình này giúp sinh viên nắm bắt các kiến thức và kỹ năng lập trình FPGA ứng dụng vào lĩnh vực điện tử, là một mô đun không thể thiếu đối với sinh viên nghề điện tử công nghiệp.

    doc281p uocvongxua02 14-05-2015 753 227   Download

  • Tài liệu "Thực hành thiết kết mạch số với HDL" được soạn thảo nhằm mục đích hỗ trợ các bạn sinh viên trong việc tiếp xúc với ngôn ngữ đặc tả phần cứng. Mà cụ thể là hỗ trợ cho môn học thiết kết mạch số với HDL. Tài liệu này bao gồm 9 bài, nội dung chu yếu hướng đến việc học tư duy thiết kết phần cứng. Giúp sinh viên luyện tập các kỹ năng lập trình với Verilog, mô phỏng trên Model Sim hay trực tiếp trên board DE2.

    pdf84p minhdai2410 09-11-2014 281 67   Download

  • Đồ án Điện tử viễn thông 2 với đề tài "Tìm hiểu quy trình thiết kế chip và ngôn ngữ Verilog" trình bày nội dung được chia làm 3 phần: phần 1 quy trình thiết kế chip, phần 2 ngô ngữ verilog, phần 3 thiết kế uart. Mời các bạn cùng tham khảo.

    doc21p minhdai2410 09-11-2014 214 50   Download

  • VDHL là ngôn ngữ mô tả phần cứng cho các kiểu mạch số trong phạm vị các kết nối đơn giản của các cổng đến những hệ thống phức tạp.VHDL là viết tắt của VHSIC Hardware Description Language và VHSIC là viết tắt của Very High Speed Integrated Circuits.

    pdf50p luckystar_117 06-12-2013 126 32   Download

  • Hardware Description Language (HDL) • Developed in 1984 • Standard: IEEE 1364, Dec 1995 Formed from {[A-Z], [a-z], [0-9], _, $}, but .. • .. can’t begin with $ or [0-9] – myidentifier – m_y_identifier – 3my_identifier – $my_identifier – _myidentifier$ • Case sensitivity – myid≠Myid

    pdf67p vanmanh1008 07-06-2013 63 6   Download

  • .Course Description • Provide students general and detail knowledge about how to build a CMOS chip • Learn and practice the Verilog (or VHDL) language for hardware programming

    pdf10p vanmanh1008 07-06-2013 142 11   Download

  • Email liên hệ: hoangquang.dientu@gmail.com Nhận thực hiện hoặc hướng dẫn thiết kế sử dụng ngôn ngữ Verilog HDL trên FPGA – KIT DE1 – DE2. Chi tiết xin liên hệ email. Địa chỉ: Tp. Hồ Chí Minh Đối tượng: Sinh viên và các bạn có nhu cầu về thiết kế số Phương thức liên hệ: Bước 1: Qua email hoangquang.dientu@gmail.com để thỏa thuận về cách thức làm việc, báo giá, .. Bước 2: Gặp mặt trao đổi và liên hệ qua điện thoại trong suốt thời gian thực hiện (Chú ý: Các bạn cần liên hệ qua email trước để...

    pdf4p phi_thien_dao 31-05-2013 83 3   Download

CHỦ ĐỀ BẠN MUỐN TÌM

TOP DOWNLOAD
207 tài liệu
1455 lượt tải
ADSENSE

nocache searchPhinxDoc

 

Đồng bộ tài khoản
2=>2